Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
 

 
Software Version and Target Device
Product Version: ISE:14.7 (ISE) - P.20131013 Target Family: Virtex6
OS Platform: LIN64 Target Device: xc6vlx130t
Project ID (random number) 130d5c1851164668bb7cd442f20ec15e.6746599D1FF0C051FBBBABAC91FADE89.2 Target Package: ff1156
Registration ID 210651047_1777495307_210568098_222 Target Speed: -1
Date Generated 2014-01-08T15:05:02 Tool Flow ISE
 
User Environment
OS Name Scientific OS Release Scientific Linux release 6.4 (Carbon)
CPU Name Intel(R) Core(TM)2 Duo CPU E8400 @ 3.00GHz CPU Speed 2000.000 MHz
OS Name Scientific OS Release Scientific Linux release 6.4 (Carbon)
CPU Name Intel(R) Core(TM)2 Duo CPU E8400 @ 3.00GHz CPU Speed 2000.000 MHz
 
Device Usage Statistics
Macro StatisticsMiscellaneous StatisticsNet StatisticsSite Usage
Adders/Subtractors=16
  • 13-bit adder=3
  • 16-bit adder=1
  • 2-bit adder=1
  • 32-bit adder=1
  • 5-bit adder=1
  • 6-bit adder=2
  • 7-bit adder=1
  • 7-bit subtractor=1
  • 8-bit adder=1
  • 9-bit adder=4
Comparators=31
  • 13-bit comparator equal=1
  • 16-bit comparator equal=17
  • 16-bit comparator greater=1
  • 6-bit comparator equal=1
  • 8-bit comparator equal=4
  • 8-bit comparator not equal=7
Counters=22
  • 1-bit up counter=2
  • 10-bit up counter=1
  • 11-bit down counter=1
  • 12-bit up counter=2
  • 16-bit up counter=2
  • 2-bit up counter=1
  • 24-bit up counter=1
  • 28-bit up counter=3
  • 32-bit up counter=1
  • 4-bit up counter=4
  • 6-bit up counter=1
  • 8-bit down counter=1
  • 8-bit up counter=2
FSMs=2 Multiplexers=1320
  • 1-bit 16-to-1 multiplexer=6
  • 1-bit 2-to-1 multiplexer=936
  • 1-bit 4-to-1 multiplexer=128
  • 10-bit 2-to-1 multiplexer=1
  • 112-bit 2-to-1 multiplexer=1
  • 12-bit 2-to-1 multiplexer=4
  • 128-bit 2-to-1 multiplexer=6
  • 13-bit 2-to-1 multiplexer=27
  • 16-bit 2-to-1 multiplexer=34
  • 2-bit 2-to-1 multiplexer=8
  • 2-bit 3-to-1 multiplexer=1
  • 24-bit 2-to-1 multiplexer=2
  • 3-bit 2-to-1 multiplexer=8
  • 3-bit 8-to-1 multiplexer=1
  • 32-bit 2-to-1 multiplexer=17
  • 32-bit 6-to-1 multiplexer=1
  • 34-bit 2-to-1 multiplexer=1
  • 36-bit 2-to-1 multiplexer=1
  • 38-bit 2-to-1 multiplexer=2
  • 4-bit 2-to-1 multiplexer=19
  • 4-bit 4-to-1 multiplexer=1
  • 42-bit 2-to-1 multiplexer=3
  • 45-bit 2-to-1 multiplexer=2
  • 48-bit 2-to-1 multiplexer=3
  • 5-bit 2-to-1 multiplexer=9
  • 6-bit 2-to-1 multiplexer=14
  • 7-bit 2-to-1 multiplexer=10
  • 8-bit 2-to-1 multiplexer=63
  • 8-bit 4-to-1 multiplexer=1
  • 9-bit 2-to-1 multiplexer=10
RAMs=8
  • 1024x32-bit single-port block RAM=2
  • 4096x8-bit dual-port block RAM=1
  • 8192x32-bit dual-port block RAM=1
  • 8192x8-bit dual-port block RAM=4
Registers=4312
  • Flip-Flops=4312
Xors=12
  • 1-bit xor2=10
  • 16-bit xor2=1
  • 16-bit xor4=1
MiscellaneousStatistics
  • AGG_BONDED_IO=4
  • AGG_IO=4
  • AGG_LOCED_IO=4
  • AGG_SLICE=1067
  • NUM_BONDED_IOB=4
  • NUM_BONDED_IPAD=4
  • NUM_BONDED_OPAD=2
  • NUM_BSFULL=2191
  • NUM_BSLUTONLY=820
  • NUM_BSREGONLY=529
  • NUM_BSUSED=3540
  • NUM_BUFG=3
  • NUM_BUFR=1
  • NUM_GTXE1=1
  • NUM_IBUFDS_GTXE1=1
  • NUM_IOB_FF=1
  • NUM_LOCED_GTXE1=1
  • NUM_LOCED_IOB=4
  • NUM_LOCED_IPAD=2
  • NUM_LOGIC_O5ANDO6=902
  • NUM_LOGIC_O5ONLY=117
  • NUM_LOGIC_O6ONLY=1732
  • NUM_LUT_RT_DRIVES_CARRY4=8
  • NUM_LUT_RT_DRIVES_FLOP=249
  • NUM_LUT_RT_EXO5=249
  • NUM_LUT_RT_EXO6=8
  • NUM_LUT_RT_O5=18
  • NUM_LUT_RT_O6=117
  • NUM_MMCM_ADV=1
  • NUM_OLOGICE1=2
  • NUM_RAMB36E1=19
  • NUM_RPM=5
  • NUM_SLICEL=1064
  • NUM_SLICEM=3
  • NUM_SLICE_CARRY4=111
  • NUM_SLICE_CONTROLSET=136
  • NUM_SLICE_CYINIT=4082
  • NUM_SLICE_F7MUX=20
  • NUM_SLICE_F8MUX=5
  • NUM_SLICE_FF=3524
  • NUM_SLICE_LATCH=1
  • NUM_SLICE_UNUSEDCTRL=138
  • NUM_SRL_O6ONLY=3
  • NUM_STARTUP=1
  • NUM_TEMAC_SINGLE=1
  • NUM_UNUSABLE_FF_BELS=368
  • Xilinx Core v6_emac_v2_3, Xilinx CORE Generator 14.7=1
NetStatistics
  • NumNets_Active=5106
  • NumNets_Gnd=1
  • NumNets_Vcc=1
  • NumNodesOfType_Active_BENTQUAD=1246
  • NumNodesOfType_Active_BOUNCEACROSS=668
  • NumNodesOfType_Active_BOUNCEIN=726
  • NumNodesOfType_Active_BUFGROUT=4
  • NumNodesOfType_Active_BUFINP2OUT=12
  • NumNodesOfType_Active_CLKPIN=1007
  • NumNodesOfType_Active_CNTRLPIN=855
  • NumNodesOfType_Active_DOUBLE=6759
  • NumNodesOfType_Active_GENERIC=7
  • NumNodesOfType_Active_GLOBAL=179
  • NumNodesOfType_Active_HLONG=41
  • NumNodesOfType_Active_HQUAD=1071
  • NumNodesOfType_Active_INPUT=1391
  • NumNodesOfType_Active_IOBIN2OUT=4
  • NumNodesOfType_Active_IOBOUTPUT=4
  • NumNodesOfType_Active_LUTINPUT=13373
  • NumNodesOfType_Active_OPTDELAY=9
  • NumNodesOfType_Active_OUTBOUND=5238
  • NumNodesOfType_Active_OUTPUT=5176
  • NumNodesOfType_Active_PADINPUT=4
  • NumNodesOfType_Active_PINBOUNCE=2818
  • NumNodesOfType_Active_PINFEED=17663
  • NumNodesOfType_Active_REGINPUT=1031
  • NumNodesOfType_Active_SINGLE=8625
  • NumNodesOfType_Active_VLONG=45
  • NumNodesOfType_Active_VQUAD=1818
  • NumNodesOfType_Gnd_BOUNCEACROSS=413
  • NumNodesOfType_Gnd_BOUNCEIN=1076
  • NumNodesOfType_Gnd_CLKPIN=84
  • NumNodesOfType_Gnd_CNTRLPIN=153
  • NumNodesOfType_Gnd_DOUBLE=11
  • NumNodesOfType_Gnd_HGNDOUT=316
  • NumNodesOfType_Gnd_INPUT=5289
  • NumNodesOfType_Gnd_LUTINPUT=8
  • NumNodesOfType_Gnd_OUTBOUND=3
  • NumNodesOfType_Gnd_OUTPUT=3
  • NumNodesOfType_Gnd_PINBOUNCE=1355
  • NumNodesOfType_Gnd_PINFEED=10351
  • NumNodesOfType_Gnd_REGINPUT=135
  • NumNodesOfType_Gnd_SINGLE=21
  • NumNodesOfType_Vcc_BOUNCEIN=95
  • NumNodesOfType_Vcc_CLKPIN=1
  • NumNodesOfType_Vcc_CNTRLPIN=3
  • NumNodesOfType_Vcc_HVCCOUT=597
  • NumNodesOfType_Vcc_INPUT=867
  • NumNodesOfType_Vcc_LUTINPUT=1047
  • NumNodesOfType_Vcc_PINBOUNCE=111
  • NumNodesOfType_Vcc_PINFEED=2333
  • NumNodesOfType_Vcc_REGINPUT=14
SiteStatistics
  • BUFG-BUFGCTRL=3
  • IOB-IOBM=1
  • IOB-IOBS=3
  • RAMB36E1-RAMBFIFO36E1=19
  • SLICEL-SLICEM=377
SiteSummary
  • BUFFER=6
  • BUFG=3
  • BUFG_BUFG=3
  • BUFR=1
  • BUFR_BUFR=1
  • CARRY4=111
  • FF_INIT=805
  • GTXE1=20
  • GTXE1_GTXE1=20
  • HARD0=15
  • HARD1=19
  • IBUFDS_GTXE1=1
  • IBUFDS_GTXE1_IBUFDS_GTXE1=1
  • IOB=4
  • IOB_OUTBUF=4
  • IPAD=4
  • LUT5=1286
  • LUT6=2759
  • LUT_OR_MEM6=3
  • MMCM_ADV=1
  • MMCM_ADV_MMCM_ADV=1
  • OLOGICE1=2
  • OLOGICE1_O1USED=1
  • OLOGICE1_OUTFF=1
  • OPAD=2
  • PAD=10
  • RAMB36E1=19
  • RAMB36E1_RAMB36E1=19
  • REG_INIT=2720
  • SELMUX2_1=25
  • SLICEL=1064
  • SLICEM=3
  • STARTUP=1
  • STARTUP_STARTUP=1
  • TEMAC_SINGLE=1
  • TEMAC_SINGLE_TEMAC_SINGLE=1
 
Configuration Data
BUFR_BUFR
  • BUFR_DIVIDE=[BYPASS:1]
FF_INIT
  • CK=[CK:805] [CK_INV:0]
  • FFINIT=[INIT0:798] [INIT1:7]
  • FFSR=[SRLOW:800] [SRHIGH:5]
  • SYNC_ATTR=[ASYNC:508] [SYNC:297]
GTXE1
  • DCLK=[DCLK_INV:19] [DCLK:1]
  • RXUSRCLK=[RXUSRCLK_INV:19] [RXUSRCLK:1]
  • RXUSRCLK2=[RXUSRCLK2:1] [RXUSRCLK2_INV:19]
  • TSTCLK0=[TSTCLK0:1] [TSTCLK0_INV:19]
  • TSTCLK1=[TSTCLK1_INV:19] [TSTCLK1:1]
  • TXUSRCLK=[TXUSRCLK_INV:19] [TXUSRCLK:1]
  • TXUSRCLK2=[TXUSRCLK2:1] [TXUSRCLK2_INV:19]
GTXE1_GTXE1
  • AC_CAP_DIS=[TRUE:20]
  • ALIGN_COMMA_WORD=[1:20]
  • CHAN_BOND_1_MAX_SKEW=[1:1] [7:19]
  • CHAN_BOND_2_MAX_SKEW=[1:20]
  • CHAN_BOND_KEEP_ALIGN=[FALSE:20]
  • CHAN_BOND_SEQ_2_USE=[FALSE:20]
  • CHAN_BOND_SEQ_LEN=[1:20]
  • CLK_CORRECT_USE=[TRUE:20]
  • CLK_COR_ADJ_LEN=[1:19] [2:1]
  • CLK_COR_DET_LEN=[1:19] [2:1]
  • CLK_COR_INSERT_IDLE_FLAG=[FALSE:20]
  • CLK_COR_KEEP_IDLE=[FALSE:20]
  • CLK_COR_MAX_LAT=[20:19] [18:1]
  • CLK_COR_MIN_LAT=[14:1] [18:19]
  • CLK_COR_PRECEDENCE=[TRUE:20]
  • CLK_COR_REPEAT_WAIT=[0:20]
  • CLK_COR_SEQ_2_USE=[FALSE:19] [TRUE:1]
  • COMMA_DOUBLE=[FALSE:20]
  • DCLK=[DCLK_INV:19] [DCLK:1]
  • DEC_MCOMMA_DETECT=[TRUE:20]
  • DEC_PCOMMA_DETECT=[TRUE:20]
  • DEC_VALID_COMMA_ONLY=[FALSE:1] [TRUE:19]
  • DFE_DRP_EN=[FALSE:20]
  • GEN_RXUSRCLK=[TRUE:20]
  • GEN_TXUSRCLK=[TRUE:20]
  • GTX_CFG_PWRUP=[FALSE:19] [TRUE:1]
  • LOOPBACK_DRP_EN=[FALSE:20]
  • MASTER_DRP_EN=[FALSE:20]
  • MCOMMA_DETECT=[TRUE:20]
  • PCI_EXPRESS_MODE=[FALSE:20]
  • PCOMMA_DETECT=[TRUE:20]
  • PDELIDLE_DRP_EN=[FALSE:20]
  • PHASEALIGN_DRP_EN=[FALSE:20]
  • PLL_DRP_EN=[FALSE:20]
  • PMA_CAS_CLK_EN=[FALSE:20]
  • POLARITY_DRP_EN=[FALSE:20]
  • PRBS_DRP_EN=[FALSE:20]
  • RCV_TERM_GND=[FALSE:20]
  • RCV_TERM_VTTRX=[FALSE:20]
  • RESET_DRP_EN=[FALSE:20]
  • RXBUF_OVFL_THRESH=[61:20]
  • RXBUF_OVRD_THRESH=[FALSE:20]
  • RXBUF_UDFL_THRESH=[4:20]
  • RXGEARBOX_USE=[FALSE:20]
  • RXPLL_DIVSEL45_FB=[5:20]
  • RXPLL_DIVSEL_FB=[2:20]
  • RXPLL_DIVSEL_OUT=[1:19] [2:1]
  • RXPLL_DIVSEL_REF=[1:20]
  • RXPLL_STARTUP_EN=[TRUE:20]
  • RXRECCLK_CTRL=[RXRECCLKPMA_DIV1:1] [CLKTESTSIG1:19]
  • RXUSRCLK=[RXUSRCLK_INV:19] [RXUSRCLK:1]
  • RXUSRCLK2=[RXUSRCLK2:1] [RXUSRCLK2_INV:19]
  • RX_BUFFER_USE=[TRUE:20]
  • RX_CDR_FORCE_ROTATE=[FALSE:20]
  • RX_CLK25_DIVIDER=[5:1] [6:19]
  • RX_DATA_WIDTH=[10:1] [20:19]
  • RX_DECODE_SEQ_MATCH=[TRUE:20]
  • RX_EN_IDLE_HOLD_CDR=[FALSE:20]
  • RX_EN_IDLE_HOLD_DFE=[TRUE:20]
  • RX_EN_IDLE_RESET_BUF=[TRUE:20]
  • RX_EN_IDLE_RESET_FR=[TRUE:20]
  • RX_EN_IDLE_RESET_PH=[TRUE:20]
  • RX_EN_MODE_RESET_BUF=[TRUE:20]
  • RX_EN_RATE_RESET_BUF=[TRUE:20]
  • RX_EN_REALIGN_RESET_BUF=[FALSE:20]
  • RX_EN_REALIGN_RESET_BUF2=[FALSE:20]
  • RX_FIFO_ADDR_MODE=[FULL:20]
  • RX_LOSS_OF_SYNC_FSM=[FALSE:20]
  • RX_LOS_INVALID_INCR=[1:20]
  • RX_LOS_THRESHOLD=[4:20]
  • RX_OVERSAMPLE_MODE=[FALSE:20]
  • RX_SLIDE_AUTO_WAIT=[5:20]
  • RX_SLIDE_MODE=[OFF:20]
  • RX_XCLK_SEL=[RXREC:20]
  • SAS_MAX_COMSAS=[52:20]
  • SAS_MIN_COMSAS=[40:20]
  • SATA_MAX_BURST=[7:19] [9:1]
  • SATA_MAX_INIT=[22:19] [27:1]
  • SATA_MAX_WAKE=[7:19] [9:1]
  • SATA_MIN_BURST=[4:19] [5:1]
  • SATA_MIN_INIT=[12:19] [15:1]
  • SATA_MIN_WAKE=[4:19] [5:1]
  • SHOW_REALIGN_COMMA=[FALSE:1] [TRUE:19]
  • TERMINATION_OVRD=[FALSE:20]
  • TSTCLK0=[TSTCLK0:1] [TSTCLK0_INV:19]
  • TSTCLK1=[TSTCLK1_INV:19] [TSTCLK1:1]
  • TXDRIVE_DRP_EN=[FALSE:20]
  • TXDRIVE_LOOPBACK_HIZ=[FALSE:20]
  • TXDRIVE_LOOPBACK_PD=[FALSE:20]
  • TXGEARBOX_USE=[FALSE:20]
  • TXOUTCLKPCS_SEL=[0:20]
  • TXOUTCLK_CTRL=[TXPLLREFCLK_DIV1:1] [CLKTESTSIG0:19]
  • TXPLL_DIVSEL45_FB=[5:20]
  • TXPLL_DIVSEL_FB=[2:20]
  • TXPLL_DIVSEL_OUT=[1:19] [2:1]
  • TXPLL_DIVSEL_REF=[1:20]
  • TXPLL_STARTUP_EN=[TRUE:20]
  • TXUSRCLK=[TXUSRCLK_INV:19] [TXUSRCLK:1]
  • TXUSRCLK2=[TXUSRCLK2:1] [TXUSRCLK2_INV:19]
  • TX_BUFFER_USE=[TRUE:20]
  • TX_CLK25_DIVIDER=[5:1] [6:19]
  • TX_CLK_SOURCE=[RXPLL:20]
  • TX_DATA_WIDTH=[10:1] [20:19]
  • TX_DRIVE_MODE=[DIRECT:20]
  • TX_EN_RATE_RESET_BUF=[TRUE:20]
  • TX_OVERSAMPLE_MODE=[FALSE:20]
  • TX_XCLK_SEL=[TXUSR:19] [TXOUT:1]
IBUFDS_GTXE1_IBUFDS_GTXE1
  • CLKCM_CFG=[TRUE:1]
  • CLKRCV_TRST=[TRUE:1]
LUT_OR_MEM6
  • CLK=[CLK:3] [CLK_INV:0]
  • LUT_OR_MEM=[RAM:3]
  • RAMMODE=[SRL16:3]
MMCM_ADV
  • CLKINSEL=[CLKINSEL:1] [CLKINSEL_INV:0]
  • PSEN=[PSEN_INV:0] [PSEN:1]
  • PSINCDEC=[PSINCDEC:1] [PSINCDEC_INV:0]
  • PWRDWN=[PWRDWN_INV:0] [PWRDWN:1]
  • RST=[RST:1] [RST_INV:0]
MMCM_ADV_MMCM_ADV
  • BANDWIDTH=[LOW:1]
  • CASC_LOCK_EN=[FALSE:1]
  • CLKBURST_ENABLE=[FALSE:1]
  • CLKBURST_REPEAT=[FALSE:1]
  • CLKFBIN_EDGE=[FALSE:1]
  • CLKFBIN_NOCOUNT=[TRUE:1]
  • CLKFBOUT_EDGE=[FALSE:1]
  • CLKFBOUT_EN=[TRUE:1]
  • CLKFBOUT_FRAC_EN=[FALSE:1]
  • CLKFBOUT_FRAC_WF=[FALSE:1]
  • CLKFBOUT_NOCOUNT=[TRUE:1]
  • CLKFBOUT_USE_FINE_PS=[FALSE:1]
  • CLKINSEL=[CLKINSEL:1] [CLKINSEL_INV:0]
  • CLKOUT0_EDGE=[FALSE:1]
  • CLKOUT0_EN=[FALSE:1]
  • CLKOUT0_FRAC_EN=[FALSE:1]
  • CLKOUT0_FRAC_WF=[FALSE:1]
  • CLKOUT0_NOCOUNT=[TRUE:1]
  • CLKOUT0_USE_FINE_PS=[FALSE:1]
  • CLKOUT1_EDGE=[FALSE:1]
  • CLKOUT1_EN=[FALSE:1]
  • CLKOUT1_NOCOUNT=[TRUE:1]
  • CLKOUT1_USE_FINE_PS=[FALSE:1]
  • CLKOUT2_EDGE=[FALSE:1]
  • CLKOUT2_EN=[FALSE:1]
  • CLKOUT2_NOCOUNT=[TRUE:1]
  • CLKOUT2_USE_FINE_PS=[FALSE:1]
  • CLKOUT3_EDGE=[FALSE:1]
  • CLKOUT3_EN=[FALSE:1]
  • CLKOUT3_NOCOUNT=[TRUE:1]
  • CLKOUT3_USE_FINE_PS=[FALSE:1]
  • CLKOUT4_CASCADE=[FALSE:1]
  • CLKOUT4_EDGE=[FALSE:1]
  • CLKOUT4_EN=[FALSE:1]
  • CLKOUT4_NOCOUNT=[TRUE:1]
  • CLKOUT4_USE_FINE_PS=[FALSE:1]
  • CLKOUT5_EDGE=[FALSE:1]
  • CLKOUT5_EN=[FALSE:1]
  • CLKOUT5_FRAC_WF=[FALSE:1]
  • CLKOUT5_NOCOUNT=[TRUE:1]
  • CLKOUT5_USE_FINE_PS=[FALSE:1]
  • CLKOUT6_EDGE=[FALSE:1]
  • CLKOUT6_EN=[FALSE:1]
  • CLKOUT6_FRAC_WF=[FALSE:1]
  • CLKOUT6_NOCOUNT=[TRUE:1]
  • CLKOUT6_USE_FINE_PS=[FALSE:1]
  • CLOCK_HOLD=[FALSE:1]
  • COMPENSATION=[INTERNAL:1]
  • DIRECT_PATH_CNTRL=[FALSE:1]
  • DIVCLK_EDGE=[FALSE:1]
  • DIVCLK_NOCOUNT=[TRUE:1]
  • EN_VCO_DIV1=[FALSE:1]
  • EN_VCO_DIV6=[FALSE:1]
  • GTS_WAIT=[FALSE:1]
  • HVLF_CNT_TEST_EN=[FALSE:1]
  • HVLF_STEP=[FALSE:1]
  • IN_DLY_EN=[TRUE:1]
  • MMCM_EN=[TRUE:1]
  • PERF0_USE_CLK=[FALSE:1]
  • PERF1_USE_CLK=[FALSE:1]
  • PERF2_USE_CLK=[FALSE:1]
  • PERF3_USE_CLK=[FALSE:1]
  • PSEN=[PSEN_INV:0] [PSEN:1]
  • PSINCDEC=[PSINCDEC:1] [PSINCDEC_INV:0]
  • PWRDWN=[PWRDWN_INV:0] [PWRDWN:1]
  • RST=[RST:1] [RST_INV:0]
  • SEL_SLIPD=[FALSE:1]
  • STARTUP_WAIT=[FALSE:1]
  • VLF_HIGH_DIS_B=[TRUE:1]
  • VLF_HIGH_PWDN_B=[TRUE:1]
OLOGICE1
  • CLK=[CLK:1] [CLK_INV:0]
  • D1=[D1:1] [D1_INV:1]
OLOGICE1_O1USED
  • 0=[0:0] [0_INV:1]
OLOGICE1_OUTFF
  • CK=[CK:1] [CK_INV:0]
  • D1=[D1:1] [D1_INV:0]
  • INIT_OQ=[0:1]
  • OUTFFTYPE=[FF:1]
RAMB36E1
  • CLKARDCLKL=[CLKARDCLKL:19] [CLKARDCLKL_INV:0]
  • CLKARDCLKU=[CLKARDCLKU_INV:0] [CLKARDCLKU:19]
  • CLKBWRCLKL=[CLKBWRCLKL_INV:0] [CLKBWRCLKL:19]
  • CLKBWRCLKU=[CLKBWRCLKU:19] [CLKBWRCLKU_INV:0]
  • ENARDENL=[ENARDENL_INV:0] [ENARDENL:19]
  • ENARDENU=[ENARDENU_INV:0] [ENARDENU:19]
  • ENBWRENL=[ENBWRENL:19] [ENBWRENL_INV:0]
  • ENBWRENU=[ENBWRENU_INV:0] [ENBWRENU:19]
  • REGCLKARDRCLKL=[REGCLKARDRCLKL_INV:0] [REGCLKARDRCLKL:19]
  • REGCLKARDRCLKU=[REGCLKARDRCLKU:19] [REGCLKARDRCLKU_INV:0]
  • REGCLKBL=[REGCLKBL_INV:0] [REGCLKBL:19]
  • REGCLKBU=[REGCLKBU:19] [REGCLKBU_INV:0]
  • RSTRAMARSTRAML=[RSTRAMARSTRAML_INV:0] [RSTRAMARSTRAML:19]
  • RSTRAMARSTRAMU=[RSTRAMARSTRAMU:19] [RSTRAMARSTRAMU_INV:0]
  • RSTRAMBL=[RSTRAMBL_INV:0] [RSTRAMBL:19]
  • RSTRAMBU=[RSTRAMBU:19] [RSTRAMBU_INV:0]
  • RSTREGARSTREGL=[RSTREGARSTREGL:19] [RSTREGARSTREGL_INV:0]
  • RSTREGARSTREGU=[RSTREGARSTREGU_INV:0] [RSTREGARSTREGU:19]
  • RSTREGBL=[RSTREGBL:19] [RSTREGBL_INV:0]
  • RSTREGBU=[RSTREGBU:19] [RSTREGBU_INV:0]
RAMB36E1_RAMB36E1
  • CLKARDCLKL=[CLKARDCLKL:19] [CLKARDCLKL_INV:0]
  • CLKARDCLKU=[CLKARDCLKU_INV:0] [CLKARDCLKU:19]
  • CLKBWRCLKL=[CLKBWRCLKL_INV:0] [CLKBWRCLKL:19]
  • CLKBWRCLKU=[CLKBWRCLKU:19] [CLKBWRCLKU_INV:0]
  • DOA_REG=[0:19]
  • DOB_REG=[0:19]
  • ENARDENL=[ENARDENL_INV:0] [ENARDENL:19]
  • ENARDENU=[ENARDENU_INV:0] [ENARDENU:19]
  • ENBWRENL=[ENBWRENL:19] [ENBWRENL_INV:0]
  • ENBWRENU=[ENBWRENU_INV:0] [ENBWRENU:19]
  • EN_ECC_READ=[FALSE:19]
  • EN_ECC_WRITE=[FALSE:19]
  • RAM_EXTENSION_A=[NONE:19]
  • RAM_EXTENSION_B=[NONE:19]
  • RAM_MODE=[TDP:19]
  • RDADDR_COLLISION_HWCONFIG=[PERFORMANCE:2] [DELAYED_WRITE:17]
  • READ_WIDTH_A=[4:16] [9:1] [36:2]
  • READ_WIDTH_B=[0:2] [4:16] [9:1]
  • REGCLKARDRCLKL=[REGCLKARDRCLKL_INV:0] [REGCLKARDRCLKL:19]
  • REGCLKARDRCLKU=[REGCLKARDRCLKU:19] [REGCLKARDRCLKU_INV:0]
  • REGCLKBL=[REGCLKBL_INV:0] [REGCLKBL:19]
  • REGCLKBU=[REGCLKBU:19] [REGCLKBU_INV:0]
  • RSTRAMARSTRAML=[RSTRAMARSTRAML_INV:0] [RSTRAMARSTRAML:19]
  • RSTRAMARSTRAMU=[RSTRAMARSTRAMU:19] [RSTRAMARSTRAMU_INV:0]
  • RSTRAMBL=[RSTRAMBL_INV:0] [RSTRAMBL:19]
  • RSTRAMBU=[RSTRAMBU:19] [RSTRAMBU_INV:0]
  • RSTREGARSTREGL=[RSTREGARSTREGL:19] [RSTREGARSTREGL_INV:0]
  • RSTREGARSTREGU=[RSTREGARSTREGU_INV:0] [RSTREGARSTREGU:19]
  • RSTREGBL=[RSTREGBL:19] [RSTREGBL_INV:0]
  • RSTREGBU=[RSTREGBU:19] [RSTREGBU_INV:0]
  • RSTREG_PRIORITY_A=[RSTREG:19]
  • RSTREG_PRIORITY_B=[RSTREG:19]
  • SAVEDATA=[FALSE:19]
  • WRITE_MODE_A=[WRITE_FIRST:17] [READ_FIRST:2]
  • WRITE_MODE_B=[WRITE_FIRST:19]
  • WRITE_WIDTH_A=[4:16] [9:1] [36:2]
  • WRITE_WIDTH_B=[0:19]
REG_INIT
  • CK=[CK:2718] [CK_INV:2]
  • FFINIT=[INIT0:2598] [INIT1:122]
  • FFSR=[SRLOW:2604] [SRHIGH:116]
  • LATCH_OR_FF=[FF:2719] [LATCH:1]
  • SYNC_ATTR=[ASYNC:1526] [SYNC:1194]
SELMUX2_1
  • 0=[0:25] [0_INV:0]
SLICEL
  • CLK=[CLK:924] [CLK_INV:2]
SLICEM
  • CLK=[CLK:3] [CLK_INV:0]
STARTUP_STARTUP
  • PROG_USR=[FALSE:1]
TEMAC_SINGLE_TEMAC_SINGLE
  • EMAC_1000BASEX_ENABLE=[FALSE:1]
  • EMAC_ADDRFILTER_ENABLE=[FALSE:1]
  • EMAC_BYTEPHY=[FALSE:1]
  • EMAC_CONFIGVEC_79=[TRUE:1]
  • EMAC_CTRLLENCHECK_DISABLE=[FALSE:1]
  • EMAC_GTLOOPBACK=[FALSE:1]
  • EMAC_HOST_ENABLE=[FALSE:1]
  • EMAC_LTCHECK_DISABLE=[FALSE:1]
  • EMAC_MDIO_ENABLE=[TRUE:1]
  • EMAC_MDIO_IGNORE_PHYADZERO=[FALSE:1]
  • EMAC_PHYINITAUTONEG_ENABLE=[TRUE:1]
  • EMAC_PHYISOLATE=[FALSE:1]
  • EMAC_PHYLOOPBACKMSB=[FALSE:1]
  • EMAC_PHYPOWERDOWN=[FALSE:1]
  • EMAC_PHYRESET=[FALSE:1]
  • EMAC_RGMII_ENABLE=[FALSE:1]
  • EMAC_RX16BITCLIENT_ENABLE=[FALSE:1]
  • EMAC_RXFLOWCTRL_ENABLE=[FALSE:1]
  • EMAC_RXHALFDUPLEX=[FALSE:1]
  • EMAC_RXINBANDFCS_ENABLE=[FALSE:1]
  • EMAC_RXJUMBOFRAME_ENABLE=[FALSE:1]
  • EMAC_RXRESET=[FALSE:1]
  • EMAC_RXVLAN_ENABLE=[FALSE:1]
  • EMAC_RX_ENABLE=[TRUE:1]
  • EMAC_SGMII_ENABLE=[TRUE:1]
  • EMAC_SPEED_LSB=[FALSE:1]
  • EMAC_SPEED_MSB=[TRUE:1]
  • EMAC_TX16BITCLIENT_ENABLE=[FALSE:1]
  • EMAC_TXFLOWCTRL_ENABLE=[FALSE:1]
  • EMAC_TXHALFDUPLEX=[FALSE:1]
  • EMAC_TXIFGADJUST_ENABLE=[FALSE:1]
  • EMAC_TXINBANDFCS_ENABLE=[FALSE:1]
  • EMAC_TXJUMBOFRAME_ENABLE=[FALSE:1]
  • EMAC_TXRESET=[FALSE:1]
  • EMAC_TXVLAN_ENABLE=[FALSE:1]
  • EMAC_TX_ENABLE=[TRUE:1]
  • EMAC_UNIDIRECTION_ENABLE=[FALSE:1]
  • EMAC_USECLKEN=[FALSE:1]
 
Pin Data
BUFFER
  • I=6
  • O=6
BUFG
  • I0=3
  • O=3
BUFG_BUFG
  • I0=3
  • O=3
BUFR
  • CE=1
  • CLR=1
  • I=1
  • O=1
BUFR_BUFR
  • CE=1
  • CLR=1
  • I=1
  • O=1
CARRY4
  • CIN=73
  • CO0=1
  • CO1=15
  • CO2=13
  • CO3=73
  • CYINIT=38
  • DI0=104
  • DI1=101
  • DI2=95
  • DI3=73
  • O0=73
  • O1=66
  • O2=64
  • O3=63
  • S0=111
  • S1=103
  • S2=96
  • S3=82
FF_INIT
  • CE=584
  • CK=805
  • D=805
  • Q=805
  • SR=297
GTXE1
  • CLKTESTSIG0=19
  • CLKTESTSIG1=19
  • DADDR0=20
  • DADDR1=20
  • DADDR2=20
  • DADDR3=20
  • DADDR4=20
  • DADDR5=20
  • DADDR6=20
  • DADDR7=20
  • DCLK=20
  • DEN=20
  • DFECLKDLYADJ0=20
  • DFECLKDLYADJ1=20
  • DFECLKDLYADJ2=20
  • DFECLKDLYADJ3=20
  • DFECLKDLYADJ4=20
  • DFECLKDLYADJ5=20
  • DFEDLYOVRD=20
  • DFETAP10=20
  • DFETAP11=20
  • DFETAP12=20
  • DFETAP13=20
  • DFETAP14=20
  • DFETAP20=20
  • DFETAP21=20
  • DFETAP22=20
  • DFETAP23=20
  • DFETAP24=20
  • DFETAP30=20
  • DFETAP31=20
  • DFETAP32=20
  • DFETAP33=20
  • DFETAP40=20
  • DFETAP41=20
  • DFETAP42=20
  • DFETAP43=20
  • DFETAPOVRD=20
  • DI0=20
  • DI1=20
  • DI10=20
  • DI11=20
  • DI12=20
  • DI13=20
  • DI14=20
  • DI15=20
  • DI2=20
  • DI3=20
  • DI4=20
  • DI5=20
  • DI6=20
  • DI7=20
  • DI8=20
  • DI9=20
  • DWE=20
  • GATERXELECIDLE=20
  • GTXRXRESET=20
  • GTXTEST0=20
  • GTXTEST1=20
  • GTXTEST10=20
  • GTXTEST11=20
  • GTXTEST12=20
  • GTXTEST2=20
  • GTXTEST3=20
  • GTXTEST4=20
  • GTXTEST5=20
  • GTXTEST6=20
  • GTXTEST7=20
  • GTXTEST8=20
  • GTXTEST9=20
  • GTXTXRESET=20
  • IGNORESIGDET=20
  • LOOPBACK0=20
  • LOOPBACK1=20
  • LOOPBACK2=20
  • MGTREFCLKRX0=1
  • MGTREFCLKTX0=1
  • PLLRXRESET=20
  • PLLTXRESET=20
  • PRBSCNTRESET=20
  • RXBUFRESET=20
  • RXBUFSTATUS2=1
  • RXCDRRESET=20
  • RXCHARISCOMMA0=1
  • RXCHARISK0=1
  • RXCHBONDI0=20
  • RXCHBONDI1=20
  • RXCHBONDI2=20
  • RXCHBONDI3=20
  • RXCHBONDLEVEL0=20
  • RXCHBONDLEVEL1=20
  • RXCHBONDLEVEL2=20
  • RXCHBONDMASTER=20
  • RXCHBONDSLAVE=20
  • RXCLKCORCNT0=1
  • RXCLKCORCNT1=1
  • RXCLKCORCNT2=1
  • RXCOMMADETUSE=20
  • RXDATA0=1
  • RXDATA1=1
  • RXDATA2=1
  • RXDATA3=1
  • RXDATA4=1
  • RXDATA5=1
  • RXDATA6=1
  • RXDATA7=1
  • RXDEC8B10BUSE=20
  • RXDISPERR0=1
  • RXDLYALIGNDISABLE=20
  • RXDLYALIGNMONENB=20
  • RXDLYALIGNOVERRIDE=20
  • RXDLYALIGNRESET=20
  • RXDLYALIGNSWPPRECURB=20
  • RXDLYALIGNUPDSW=20
  • RXELECIDLE=1
  • RXENCHANSYNC=20
  • RXENMCOMMAALIGN=20
  • RXENPCOMMAALIGN=20
  • RXENPMAPHASEALIGN=20
  • RXENPRBSTST0=20
  • RXENPRBSTST1=20
  • RXENPRBSTST2=20
  • RXENSAMPLEALIGN=20
  • RXEQMIX0=20
  • RXEQMIX1=20
  • RXEQMIX2=20
  • RXEQMIX3=20
  • RXEQMIX4=20
  • RXEQMIX5=20
  • RXEQMIX6=20
  • RXEQMIX7=20
  • RXEQMIX8=20
  • RXEQMIX9=20
  • RXGEARBOXSLIP=20
  • RXN=1
  • RXNOTINTABLE0=1
  • RXP=1
  • RXPLLLKDET=1
  • RXPLLLKDETEN=20
  • RXPLLPOWERDOWN=20
  • RXPLLREFSELDY0=20
  • RXPLLREFSELDY1=20
  • RXPLLREFSELDY2=20
  • RXPMASETPHASE=20
  • RXPOLARITY=20
  • RXPOWERDOWN0=20
  • RXPOWERDOWN1=20
  • RXRATE0=20
  • RXRATE1=20
  • RXRESET=20
  • RXRESETDONE=1
  • RXRUNDISP0=1
  • RXSLIDE=20
  • RXUSRCLK=20
  • RXUSRCLK2=20
  • TSTCLK0=20
  • TSTCLK1=20
  • TSTIN0=20
  • TSTIN1=20
  • TSTIN10=20
  • TSTIN11=20
  • TSTIN12=20
  • TSTIN13=20
  • TSTIN14=20
  • TSTIN15=20
  • TSTIN16=20
  • TSTIN17=20
  • TSTIN18=20
  • TSTIN19=20
  • TSTIN2=20
  • TSTIN3=20
  • TSTIN4=20
  • TSTIN5=20
  • TSTIN6=20
  • TSTIN7=20
  • TSTIN8=20
  • TSTIN9=20
  • TXBUFDIFFCTRL0=20
  • TXBUFDIFFCTRL1=20
  • TXBUFDIFFCTRL2=20
  • TXBUFSTATUS1=1
  • TXBYPASS8B10B0=20
  • TXBYPASS8B10B1=20
  • TXBYPASS8B10B2=20
  • TXBYPASS8B10B3=20
  • TXCHARDISPMODE0=20
  • TXCHARDISPMODE1=20
  • TXCHARDISPMODE2=20
  • TXCHARDISPMODE3=20
  • TXCHARDISPVAL0=20
  • TXCHARDISPVAL1=20
  • TXCHARDISPVAL2=20
  • TXCHARDISPVAL3=20
  • TXCHARISK0=20
  • TXCHARISK1=20
  • TXCHARISK2=20
  • TXCHARISK3=20
  • TXCOMINIT=20
  • TXCOMSAS=20
  • TXCOMWAKE=20
  • TXDATA0=20
  • TXDATA1=20
  • TXDATA10=20
  • TXDATA11=20
  • TXDATA12=20
  • TXDATA13=20
  • TXDATA14=20
  • TXDATA15=20
  • TXDATA16=20
  • TXDATA17=20
  • TXDATA18=20
  • TXDATA19=20
  • TXDATA2=20
  • TXDATA20=20
  • TXDATA21=20
  • TXDATA22=20
  • TXDATA23=20
  • TXDATA24=20
  • TXDATA25=20
  • TXDATA26=20
  • TXDATA27=20
  • TXDATA28=20
  • TXDATA29=20
  • TXDATA3=20
  • TXDATA30=20
  • TXDATA31=20
  • TXDATA4=20
  • TXDATA5=20
  • TXDATA6=20
  • TXDATA7=20
  • TXDATA8=20
  • TXDATA9=20
  • TXDEEMPH=20
  • TXDETECTRX=20
  • TXDIFFCTRL0=20
  • TXDIFFCTRL1=20
  • TXDIFFCTRL2=20
  • TXDIFFCTRL3=20
  • TXDLYALIGNDISABLE=20
  • TXDLYALIGNMONENB=20
  • TXDLYALIGNOVERRIDE=20
  • TXDLYALIGNRESET=20
  • TXDLYALIGNUPDSW=20
  • TXELECIDLE=20
  • TXENC8B10BUSE=20
  • TXENPMAPHASEALIGN=20
  • TXENPRBSTST0=20
  • TXENPRBSTST1=20
  • TXENPRBSTST2=20
  • TXHEADER0=20
  • TXHEADER1=20
  • TXHEADER2=20
  • TXINHIBIT=20
  • TXMARGIN0=20
  • TXMARGIN1=20
  • TXMARGIN2=20
  • TXN=1
  • TXOUTCLK=1
  • TXP=1
  • TXPDOWNASYNCH=20
  • TXPLLLKDETEN=20
  • TXPLLPOWERDOWN=20
  • TXPLLREFSELDY0=20
  • TXPLLREFSELDY1=20
  • TXPLLREFSELDY2=20
  • TXPMASETPHASE=20
  • TXPOLARITY=20
  • TXPOSTEMPHASIS0=20
  • TXPOSTEMPHASIS1=20
  • TXPOSTEMPHASIS2=20
  • TXPOSTEMPHASIS3=20
  • TXPOSTEMPHASIS4=20
  • TXPOWERDOWN0=20
  • TXPOWERDOWN1=20
  • TXPRBSFORCEERR=20
  • TXPREEMPHASIS0=20
  • TXPREEMPHASIS1=20
  • TXPREEMPHASIS2=20
  • TXPREEMPHASIS3=20
  • TXRATE0=20
  • TXRATE1=20
  • TXRESET=20
  • TXRESETDONE=1
  • TXSEQUENCE0=20
  • TXSEQUENCE1=20
  • TXSEQUENCE2=20
  • TXSEQUENCE3=20
  • TXSEQUENCE4=20
  • TXSEQUENCE5=20
  • TXSEQUENCE6=20
  • TXSTARTSEQ=20
  • TXSWING=20
  • TXUSRCLK=20
  • TXUSRCLK2=20
  • USRCODEERR=20
GTXE1_GTXE1
  • CLKTESTSIG0=19
  • CLKTESTSIG1=19
  • DADDR0=20
  • DADDR1=20
  • DADDR2=20
  • DADDR3=20
  • DADDR4=20
  • DADDR5=20
  • DADDR6=20
  • DADDR7=20
  • DCLK=20
  • DEN=20
  • DFECLKDLYADJ0=20
  • DFECLKDLYADJ1=20
  • DFECLKDLYADJ2=20
  • DFECLKDLYADJ3=20
  • DFECLKDLYADJ4=20
  • DFECLKDLYADJ5=20
  • DFEDLYOVRD=20
  • DFETAP10=20
  • DFETAP11=20
  • DFETAP12=20
  • DFETAP13=20
  • DFETAP14=20
  • DFETAP20=20
  • DFETAP21=20
  • DFETAP22=20
  • DFETAP23=20
  • DFETAP24=20
  • DFETAP30=20
  • DFETAP31=20
  • DFETAP32=20
  • DFETAP33=20
  • DFETAP40=20
  • DFETAP41=20
  • DFETAP42=20
  • DFETAP43=20
  • DFETAPOVRD=20
  • DI0=20
  • DI1=20
  • DI10=20
  • DI11=20
  • DI12=20
  • DI13=20
  • DI14=20
  • DI15=20
  • DI2=20
  • DI3=20
  • DI4=20
  • DI5=20
  • DI6=20
  • DI7=20
  • DI8=20
  • DI9=20
  • DWE=20
  • GATERXELECIDLE=20
  • GTXRXRESET=20
  • GTXTEST0=20
  • GTXTEST1=20
  • GTXTEST10=20
  • GTXTEST11=20
  • GTXTEST12=20
  • GTXTEST2=20
  • GTXTEST3=20
  • GTXTEST4=20
  • GTXTEST5=20
  • GTXTEST6=20
  • GTXTEST7=20
  • GTXTEST8=20
  • GTXTEST9=20
  • GTXTXRESET=20
  • IGNORESIGDET=20
  • LOOPBACK0=20
  • LOOPBACK1=20
  • LOOPBACK2=20
  • MGTREFCLKRX0=1
  • MGTREFCLKTX0=1
  • PLLRXRESET=20
  • PLLTXRESET=20
  • PRBSCNTRESET=20
  • RXBUFRESET=20
  • RXBUFSTATUS2=1
  • RXCDRRESET=20
  • RXCHARISCOMMA0=1
  • RXCHARISK0=1
  • RXCHBONDI0=20
  • RXCHBONDI1=20
  • RXCHBONDI2=20
  • RXCHBONDI3=20
  • RXCHBONDLEVEL0=20
  • RXCHBONDLEVEL1=20
  • RXCHBONDLEVEL2=20
  • RXCHBONDMASTER=20
  • RXCHBONDSLAVE=20
  • RXCLKCORCNT0=1
  • RXCLKCORCNT1=1
  • RXCLKCORCNT2=1
  • RXCOMMADETUSE=20
  • RXDATA0=1
  • RXDATA1=1
  • RXDATA2=1
  • RXDATA3=1
  • RXDATA4=1
  • RXDATA5=1
  • RXDATA6=1
  • RXDATA7=1
  • RXDEC8B10BUSE=20
  • RXDISPERR0=1
  • RXDLYALIGNDISABLE=20
  • RXDLYALIGNMONENB=20
  • RXDLYALIGNOVERRIDE=20
  • RXDLYALIGNRESET=20
  • RXDLYALIGNSWPPRECURB=20
  • RXDLYALIGNUPDSW=20
  • RXELECIDLE=1
  • RXENCHANSYNC=20
  • RXENMCOMMAALIGN=20
  • RXENPCOMMAALIGN=20
  • RXENPMAPHASEALIGN=20
  • RXENPRBSTST0=20
  • RXENPRBSTST1=20
  • RXENPRBSTST2=20
  • RXENSAMPLEALIGN=20
  • RXEQMIX0=20
  • RXEQMIX1=20
  • RXEQMIX2=20
  • RXEQMIX3=20
  • RXEQMIX4=20
  • RXEQMIX5=20
  • RXEQMIX6=20
  • RXEQMIX7=20
  • RXEQMIX8=20
  • RXEQMIX9=20
  • RXGEARBOXSLIP=20
  • RXN=1
  • RXNOTINTABLE0=1
  • RXP=1
  • RXPLLLKDET=1
  • RXPLLLKDETEN=20
  • RXPLLPOWERDOWN=20
  • RXPLLREFSELDY0=20
  • RXPLLREFSELDY1=20
  • RXPLLREFSELDY2=20
  • RXPMASETPHASE=20
  • RXPOLARITY=20
  • RXPOWERDOWN0=20
  • RXPOWERDOWN1=20
  • RXRATE0=20
  • RXRATE1=20
  • RXRESET=20
  • RXRESETDONE=1
  • RXRUNDISP0=1
  • RXSLIDE=20
  • RXUSRCLK=20
  • RXUSRCLK2=20
  • TSTCLK0=20
  • TSTCLK1=20
  • TSTIN0=20
  • TSTIN1=20
  • TSTIN10=20
  • TSTIN11=20
  • TSTIN12=20
  • TSTIN13=20
  • TSTIN14=20
  • TSTIN15=20
  • TSTIN16=20
  • TSTIN17=20
  • TSTIN18=20
  • TSTIN19=20
  • TSTIN2=20
  • TSTIN3=20
  • TSTIN4=20
  • TSTIN5=20
  • TSTIN6=20
  • TSTIN7=20
  • TSTIN8=20
  • TSTIN9=20
  • TXBUFDIFFCTRL0=20
  • TXBUFDIFFCTRL1=20
  • TXBUFDIFFCTRL2=20
  • TXBUFSTATUS1=1
  • TXBYPASS8B10B0=20
  • TXBYPASS8B10B1=20
  • TXBYPASS8B10B2=20
  • TXBYPASS8B10B3=20
  • TXCHARDISPMODE0=20
  • TXCHARDISPMODE1=20
  • TXCHARDISPMODE2=20
  • TXCHARDISPMODE3=20
  • TXCHARDISPVAL0=20
  • TXCHARDISPVAL1=20
  • TXCHARDISPVAL2=20
  • TXCHARDISPVAL3=20
  • TXCHARISK0=20
  • TXCHARISK1=20
  • TXCHARISK2=20
  • TXCHARISK3=20
  • TXCOMINIT=20
  • TXCOMSAS=20
  • TXCOMWAKE=20
  • TXDATA0=20
  • TXDATA1=20
  • TXDATA10=20
  • TXDATA11=20
  • TXDATA12=20
  • TXDATA13=20
  • TXDATA14=20
  • TXDATA15=20
  • TXDATA16=20
  • TXDATA17=20
  • TXDATA18=20
  • TXDATA19=20
  • TXDATA2=20
  • TXDATA20=20
  • TXDATA21=20
  • TXDATA22=20
  • TXDATA23=20
  • TXDATA24=20
  • TXDATA25=20
  • TXDATA26=20
  • TXDATA27=20
  • TXDATA28=20
  • TXDATA29=20
  • TXDATA3=20
  • TXDATA30=20
  • TXDATA31=20
  • TXDATA4=20
  • TXDATA5=20
  • TXDATA6=20
  • TXDATA7=20
  • TXDATA8=20
  • TXDATA9=20
  • TXDEEMPH=20
  • TXDETECTRX=20
  • TXDIFFCTRL0=20
  • TXDIFFCTRL1=20
  • TXDIFFCTRL2=20
  • TXDIFFCTRL3=20
  • TXDLYALIGNDISABLE=20
  • TXDLYALIGNMONENB=20
  • TXDLYALIGNOVERRIDE=20
  • TXDLYALIGNRESET=20
  • TXDLYALIGNUPDSW=20
  • TXELECIDLE=20
  • TXENC8B10BUSE=20
  • TXENPMAPHASEALIGN=20
  • TXENPRBSTST0=20
  • TXENPRBSTST1=20
  • TXENPRBSTST2=20
  • TXHEADER0=20
  • TXHEADER1=20
  • TXHEADER2=20
  • TXINHIBIT=20
  • TXMARGIN0=20
  • TXMARGIN1=20
  • TXMARGIN2=20
  • TXN=1
  • TXOUTCLK=1
  • TXP=1
  • TXPDOWNASYNCH=20
  • TXPLLLKDETEN=20
  • TXPLLPOWERDOWN=20
  • TXPLLREFSELDY0=20
  • TXPLLREFSELDY1=20
  • TXPLLREFSELDY2=20
  • TXPMASETPHASE=20
  • TXPOLARITY=20
  • TXPOSTEMPHASIS0=20
  • TXPOSTEMPHASIS1=20
  • TXPOSTEMPHASIS2=20
  • TXPOSTEMPHASIS3=20
  • TXPOSTEMPHASIS4=20
  • TXPOWERDOWN0=20
  • TXPOWERDOWN1=20
  • TXPRBSFORCEERR=20
  • TXPREEMPHASIS0=20
  • TXPREEMPHASIS1=20
  • TXPREEMPHASIS2=20
  • TXPREEMPHASIS3=20
  • TXRATE0=20
  • TXRATE1=20
  • TXRESET=20
  • TXRESETDONE=1
  • TXSEQUENCE0=20
  • TXSEQUENCE1=20
  • TXSEQUENCE2=20
  • TXSEQUENCE3=20
  • TXSEQUENCE4=20
  • TXSEQUENCE5=20
  • TXSEQUENCE6=20
  • TXSTARTSEQ=20
  • TXSWING=20
  • TXUSRCLK=20
  • TXUSRCLK2=20
  • USRCODEERR=20
HARD0
  • 0=15
HARD1
  • 1=19
IBUFDS_GTXE1
  • CEB=1
  • I=1
  • IB=1
  • O=1
IBUFDS_GTXE1_IBUFDS_GTXE1
  • CEB=1
  • I=1
  • IB=1
  • O=1
IOB
  • O=4
  • PAD=4
IOB_OUTBUF
  • IN=4
  • OUT=4
IPAD
  • O=4
  • PAD=4
LUT5
  • A1=275
  • A2=651
  • A3=649
  • A4=714
  • A5=809
  • O5=1286
LUT6
  • A1=1178
  • A2=1798
  • A3=2424
  • A4=2546
  • A5=2624
  • A6=2746
  • O6=2759
LUT_OR_MEM6
  • A1=3
  • A2=3
  • A3=3
  • A4=3
  • A5=3
  • A6=3
  • CLK=3
  • DI2=3
  • O6=3
  • WE=3
MMCM_ADV
  • CLKFBIN=1
  • CLKFBOUT=1
  • CLKIN1=1
  • CLKIN2=1
  • CLKINSEL=1
  • CLKOUT1=1
  • DADDR0=1
  • DADDR1=1
  • DADDR2=1
  • DADDR3=1
  • DADDR4=1
  • DADDR5=1
  • DADDR6=1
  • DCLK=1
  • DEN=1
  • DI0=1
  • DI1=1
  • DI10=1
  • DI11=1
  • DI12=1
  • DI13=1
  • DI14=1
  • DI15=1
  • DI2=1
  • DI3=1
  • DI4=1
  • DI5=1
  • DI6=1
  • DI7=1
  • DI8=1
  • DI9=1
  • DWE=1
  • LOCKED=1
  • PSCLK=1
  • PSEN=1
  • PSINCDEC=1
  • PWRDWN=1
  • RST=1
MMCM_ADV_MMCM_ADV
  • CLKFBIN=1
  • CLKFBOUT=1
  • CLKIN1=1
  • CLKIN2=1
  • CLKINSEL=1
  • CLKOUT1=1
  • DADDR0=1
  • DADDR1=1
  • DADDR2=1
  • DADDR3=1
  • DADDR4=1
  • DADDR5=1
  • DADDR6=1
  • DCLK=1
  • DEN=1
  • DI0=1
  • DI1=1
  • DI10=1
  • DI11=1
  • DI12=1
  • DI13=1
  • DI14=1
  • DI15=1
  • DI2=1
  • DI3=1
  • DI4=1
  • DI5=1
  • DI6=1
  • DI7=1
  • DI8=1
  • DI9=1
  • DWE=1
  • LOCKED=1
  • PSCLK=1
  • PSEN=1
  • PSINCDEC=1
  • PWRDWN=1
  • RST=1
OLOGICE1
  • CLK=1
  • D1=2
  • OCE=1
  • OQ=2
OLOGICE1_O1USED
  • 0=1
  • OUT=1
OLOGICE1_OUTFF
  • CE=1
  • CK=1
  • D1=1
  • Q=1
OPAD
  • I=2
  • PAD=2
PAD
  • PAD=10
RAMB36E1
  • ADDRARDADDRL0=19
  • ADDRARDADDRL1=19
  • ADDRARDADDRL10=19
  • ADDRARDADDRL11=19
  • ADDRARDADDRL12=19
  • ADDRARDADDRL13=19
  • ADDRARDADDRL14=19
  • ADDRARDADDRL15=19
  • ADDRARDADDRL2=19
  • ADDRARDADDRL3=19
  • ADDRARDADDRL4=19
  • ADDRARDADDRL5=19
  • ADDRARDADDRL6=19
  • ADDRARDADDRL7=19
  • ADDRARDADDRL8=19
  • ADDRARDADDRL9=19
  • ADDRARDADDRU0=19
  • ADDRARDADDRU1=19
  • ADDRARDADDRU10=19
  • ADDRARDADDRU11=19
  • ADDRARDADDRU12=19
  • ADDRARDADDRU13=19
  • ADDRARDADDRU14=19
  • ADDRARDADDRU2=19
  • ADDRARDADDRU3=19
  • ADDRARDADDRU4=19
  • ADDRARDADDRU5=19
  • ADDRARDADDRU6=19
  • ADDRARDADDRU7=19
  • ADDRARDADDRU8=19
  • ADDRARDADDRU9=19
  • ADDRBWRADDRL0=19
  • ADDRBWRADDRL1=19
  • ADDRBWRADDRL10=19
  • ADDRBWRADDRL11=19
  • ADDRBWRADDRL12=19
  • ADDRBWRADDRL13=19
  • ADDRBWRADDRL14=19
  • ADDRBWRADDRL15=19
  • ADDRBWRADDRL2=19
  • ADDRBWRADDRL3=19
  • ADDRBWRADDRL4=19
  • ADDRBWRADDRL5=19
  • ADDRBWRADDRL6=19
  • ADDRBWRADDRL7=19
  • ADDRBWRADDRL8=19
  • ADDRBWRADDRL9=19
  • ADDRBWRADDRU0=19
  • ADDRBWRADDRU1=19
  • ADDRBWRADDRU10=19
  • ADDRBWRADDRU11=19
  • ADDRBWRADDRU12=19
  • ADDRBWRADDRU13=19
  • ADDRBWRADDRU14=19
  • ADDRBWRADDRU2=19
  • ADDRBWRADDRU3=19
  • ADDRBWRADDRU4=19
  • ADDRBWRADDRU5=19
  • ADDRBWRADDRU6=19
  • ADDRBWRADDRU7=19
  • ADDRBWRADDRU8=19
  • ADDRBWRADDRU9=19
  • CLKARDCLKL=19
  • CLKARDCLKU=19
  • CLKBWRCLKL=19
  • CLKBWRCLKU=19
  • DIADI0=19
  • DIADI1=19
  • DIADI10=2
  • DIADI11=2
  • DIADI12=2
  • DIADI13=2
  • DIADI14=2
  • DIADI15=2
  • DIADI16=2
  • DIADI17=2
  • DIADI18=2
  • DIADI19=2
  • DIADI2=19
  • DIADI20=2
  • DIADI21=2
  • DIADI22=2
  • DIADI23=2
  • DIADI24=2
  • DIADI25=2
  • DIADI26=2
  • DIADI27=2
  • DIADI28=2
  • DIADI29=2
  • DIADI3=19
  • DIADI30=2
  • DIADI31=2
  • DIADI4=3
  • DIADI5=3
  • DIADI6=3
  • DIADI7=3
  • DIADI8=2
  • DIADI9=2
  • DIPADIP0=3
  • DIPADIP1=3
  • DIPADIP2=2
  • DIPADIP3=2
  • DOADO0=2
  • DOADO1=2
  • DOADO10=2
  • DOADO11=2
  • DOADO12=2
  • DOADO13=2
  • DOADO14=2
  • DOADO15=2
  • DOADO16=2
  • DOADO17=2
  • DOADO18=2
  • DOADO19=2
  • DOADO2=2
  • DOADO20=2
  • DOADO21=2
  • DOADO22=2
  • DOADO23=2
  • DOADO24=2
  • DOADO25=2
  • DOADO26=2
  • DOADO27=2
  • DOADO28=2
  • DOADO29=2
  • DOADO3=2
  • DOADO30=2
  • DOADO31=2
  • DOADO4=2
  • DOADO5=2
  • DOADO6=2
  • DOADO7=2
  • DOADO8=2
  • DOADO9=2
  • DOBDO0=17
  • DOBDO1=17
  • DOBDO2=17
  • DOBDO3=17
  • DOBDO4=1
  • DOBDO5=1
  • DOBDO6=1
  • DOBDO7=1
  • ENARDENL=19
  • ENARDENU=19
  • ENBWRENL=19
  • ENBWRENU=19
  • REGCEAREGCEL=19
  • REGCEAREGCEU=19
  • REGCEBL=19
  • REGCEBU=19
  • REGCLKARDRCLKL=19
  • REGCLKARDRCLKU=19
  • REGCLKBL=19
  • REGCLKBU=19
  • RSTRAMARSTRAML=19
  • RSTRAMARSTRAMU=19
  • RSTRAMBL=19
  • RSTRAMBU=19
  • RSTREGARSTREGL=19
  • RSTREGARSTREGU=19
  • RSTREGBL=19
  • RSTREGBU=19
  • WEAL0=19
  • WEAL1=19
  • WEAL2=19
  • WEAL3=19
  • WEAU0=19
  • WEAU1=19
  • WEAU2=19
  • WEAU3=19
  • WEBWEL0=19
  • WEBWEL1=19
  • WEBWEL2=19
  • WEBWEL3=19
  • WEBWEL4=19
  • WEBWEL5=19
  • WEBWEL6=19
  • WEBWEL7=19
  • WEBWEU0=19
  • WEBWEU1=19
  • WEBWEU2=19
  • WEBWEU3=19
  • WEBWEU4=19
  • WEBWEU5=19
  • WEBWEU6=19
  • WEBWEU7=19
RAMB36E1_RAMB36E1
  • ADDRARDADDRL0=19
  • ADDRARDADDRL1=19
  • ADDRARDADDRL10=19
  • ADDRARDADDRL11=19
  • ADDRARDADDRL12=19
  • ADDRARDADDRL13=19
  • ADDRARDADDRL14=19
  • ADDRARDADDRL15=19
  • ADDRARDADDRL2=19
  • ADDRARDADDRL3=19
  • ADDRARDADDRL4=19
  • ADDRARDADDRL5=19
  • ADDRARDADDRL6=19
  • ADDRARDADDRL7=19
  • ADDRARDADDRL8=19
  • ADDRARDADDRL9=19
  • ADDRARDADDRU0=19
  • ADDRARDADDRU1=19
  • ADDRARDADDRU10=19
  • ADDRARDADDRU11=19
  • ADDRARDADDRU12=19
  • ADDRARDADDRU13=19
  • ADDRARDADDRU14=19
  • ADDRARDADDRU2=19
  • ADDRARDADDRU3=19
  • ADDRARDADDRU4=19
  • ADDRARDADDRU5=19
  • ADDRARDADDRU6=19
  • ADDRARDADDRU7=19
  • ADDRARDADDRU8=19
  • ADDRARDADDRU9=19
  • ADDRBWRADDRL0=19
  • ADDRBWRADDRL1=19
  • ADDRBWRADDRL10=19
  • ADDRBWRADDRL11=19
  • ADDRBWRADDRL12=19
  • ADDRBWRADDRL13=19
  • ADDRBWRADDRL14=19
  • ADDRBWRADDRL15=19
  • ADDRBWRADDRL2=19
  • ADDRBWRADDRL3=19
  • ADDRBWRADDRL4=19
  • ADDRBWRADDRL5=19
  • ADDRBWRADDRL6=19
  • ADDRBWRADDRL7=19
  • ADDRBWRADDRL8=19
  • ADDRBWRADDRL9=19
  • ADDRBWRADDRU0=19
  • ADDRBWRADDRU1=19
  • ADDRBWRADDRU10=19
  • ADDRBWRADDRU11=19
  • ADDRBWRADDRU12=19
  • ADDRBWRADDRU13=19
  • ADDRBWRADDRU14=19
  • ADDRBWRADDRU2=19
  • ADDRBWRADDRU3=19
  • ADDRBWRADDRU4=19
  • ADDRBWRADDRU5=19
  • ADDRBWRADDRU6=19
  • ADDRBWRADDRU7=19
  • ADDRBWRADDRU8=19
  • ADDRBWRADDRU9=19
  • CLKARDCLKL=19
  • CLKARDCLKU=19
  • CLKBWRCLKL=19
  • CLKBWRCLKU=19
  • DIADI0=19
  • DIADI1=19
  • DIADI10=2
  • DIADI11=2
  • DIADI12=2
  • DIADI13=2
  • DIADI14=2
  • DIADI15=2
  • DIADI16=2
  • DIADI17=2
  • DIADI18=2
  • DIADI19=2
  • DIADI2=19
  • DIADI20=2
  • DIADI21=2
  • DIADI22=2
  • DIADI23=2
  • DIADI24=2
  • DIADI25=2
  • DIADI26=2
  • DIADI27=2
  • DIADI28=2
  • DIADI29=2
  • DIADI3=19
  • DIADI30=2
  • DIADI31=2
  • DIADI4=3
  • DIADI5=3
  • DIADI6=3
  • DIADI7=3
  • DIADI8=2
  • DIADI9=2
  • DIPADIP0=3
  • DIPADIP1=3
  • DIPADIP2=2
  • DIPADIP3=2
  • DOADO0=2
  • DOADO1=2
  • DOADO10=2
  • DOADO11=2
  • DOADO12=2
  • DOADO13=2
  • DOADO14=2
  • DOADO15=2
  • DOADO16=2
  • DOADO17=2
  • DOADO18=2
  • DOADO19=2
  • DOADO2=2
  • DOADO20=2
  • DOADO21=2
  • DOADO22=2
  • DOADO23=2
  • DOADO24=2
  • DOADO25=2
  • DOADO26=2
  • DOADO27=2
  • DOADO28=2
  • DOADO29=2
  • DOADO3=2
  • DOADO30=2
  • DOADO31=2
  • DOADO4=2
  • DOADO5=2
  • DOADO6=2
  • DOADO7=2
  • DOADO8=2
  • DOADO9=2
  • DOBDO0=17
  • DOBDO1=17
  • DOBDO2=17
  • DOBDO3=17
  • DOBDO4=1
  • DOBDO5=1
  • DOBDO6=1
  • DOBDO7=1
  • ENARDENL=19
  • ENARDENU=19
  • ENBWRENL=19
  • ENBWRENU=19
  • REGCEAREGCEL=19
  • REGCEAREGCEU=19
  • REGCEBL=19
  • REGCEBU=19
  • REGCLKARDRCLKL=19
  • REGCLKARDRCLKU=19
  • REGCLKBL=19
  • REGCLKBU=19
  • RSTRAMARSTRAML=19
  • RSTRAMARSTRAMU=19
  • RSTRAMBL=19
  • RSTRAMBU=19
  • RSTREGARSTREGL=19
  • RSTREGARSTREGU=19
  • RSTREGBL=19
  • RSTREGBU=19
  • WEAL0=19
  • WEAL1=19
  • WEAL2=19
  • WEAL3=19
  • WEAU0=19
  • WEAU1=19
  • WEAU2=19
  • WEAU3=19
  • WEBWEL0=19
  • WEBWEL1=19
  • WEBWEL2=19
  • WEBWEL3=19
  • WEBWEL4=19
  • WEBWEL5=19
  • WEBWEL6=19
  • WEBWEL7=19
  • WEBWEU0=19
  • WEBWEU1=19
  • WEBWEU2=19
  • WEBWEU3=19
  • WEBWEU4=19
  • WEBWEU5=19
  • WEBWEU6=19
  • WEBWEU7=19
REG_INIT
  • CE=1617
  • CK=2720
  • D=2720
  • Q=2720
  • SR=1235
SELMUX2_1
  • 0=25
  • 1=25
  • OUT=25
  • S0=25
SLICEL
  • A=271
  • A1=392
  • A2=630
  • A3=699
  • A4=756
  • A5=799
  • A6=775
  • AMUX=260
  • AQ=764
  • AX=335
  • B=261
  • B1=397
  • B2=571
  • B3=644
  • B4=707
  • B5=751
  • B6=721
  • BMUX=307
  • BQ=680
  • BX=287
  • C=220
  • C1=301
  • C2=524
  • C3=598
  • C4=630
  • C5=684
  • C6=651
  • CE=452
  • CIN=73
  • CLK=926
  • CMUX=302
  • COUT=73
  • CQ=654
  • CX=288
  • D=194
  • D1=320
  • D2=487
  • D3=551
  • D4=584
  • D5=639
  • D6=599
  • DMUX=281
  • DQ=620
  • DX=267
  • SR=401
SLICEM
  • A=1
  • A1=3
  • A2=3
  • A3=3
  • A4=3
  • A5=3
  • A6=3
  • AQ=2
  • AX=3
  • CE=3
  • CLK=3
STARTUP
  • CFGMCLK=1
  • CLK=1
  • GSR=1
  • GTS=1
  • KEYCLEARB=1
  • PACK=1
  • USRCCLKO=1
  • USRCCLKTS=1
  • USRDONEO=1
  • USRDONETS=1
STARTUP_STARTUP
  • CFGMCLK=1
  • CLK=1
  • GSR=1
  • GTS=1
  • KEYCLEARB=1
  • PACK=1
  • USRCCLKO=1
  • USRCCLKTS=1
  • USRDONEO=1
  • USRDONETS=1
TEMAC_SINGLE
  • CLIENTEMACDCMLOCKED=1
  • CLIENTEMACPAUSEREQ=1
  • CLIENTEMACPAUSEVAL0=1
  • CLIENTEMACPAUSEVAL1=1
  • CLIENTEMACPAUSEVAL10=1
  • CLIENTEMACPAUSEVAL11=1
  • CLIENTEMACPAUSEVAL12=1
  • CLIENTEMACPAUSEVAL13=1
  • CLIENTEMACPAUSEVAL14=1
  • CLIENTEMACPAUSEVAL15=1
  • CLIENTEMACPAUSEVAL2=1
  • CLIENTEMACPAUSEVAL3=1
  • CLIENTEMACPAUSEVAL4=1
  • CLIENTEMACPAUSEVAL5=1
  • CLIENTEMACPAUSEVAL6=1
  • CLIENTEMACPAUSEVAL7=1
  • CLIENTEMACPAUSEVAL8=1
  • CLIENTEMACPAUSEVAL9=1
  • CLIENTEMACRXCLIENTCLKIN=1
  • CLIENTEMACTXCLIENTCLKIN=1
  • CLIENTEMACTXD0=1
  • CLIENTEMACTXD1=1
  • CLIENTEMACTXD10=1
  • CLIENTEMACTXD11=1
  • CLIENTEMACTXD12=1
  • CLIENTEMACTXD13=1
  • CLIENTEMACTXD14=1
  • CLIENTEMACTXD15=1
  • CLIENTEMACTXD2=1
  • CLIENTEMACTXD3=1
  • CLIENTEMACTXD4=1
  • CLIENTEMACTXD5=1
  • CLIENTEMACTXD6=1
  • CLIENTEMACTXD7=1
  • CLIENTEMACTXD8=1
  • CLIENTEMACTXD9=1
  • CLIENTEMACTXDVLD=1
  • CLIENTEMACTXDVLDMSW=1
  • CLIENTEMACTXFIRSTBYTE=1
  • CLIENTEMACTXIFGDELAY0=1
  • CLIENTEMACTXIFGDELAY1=1
  • CLIENTEMACTXIFGDELAY2=1
  • CLIENTEMACTXIFGDELAY3=1
  • CLIENTEMACTXIFGDELAY4=1
  • CLIENTEMACTXIFGDELAY5=1
  • CLIENTEMACTXIFGDELAY6=1
  • CLIENTEMACTXIFGDELAY7=1
  • CLIENTEMACTXUNDERRUN=1
  • DCREMACABUS0=1
  • DCREMACABUS1=1
  • DCREMACABUS2=1
  • DCREMACABUS3=1
  • DCREMACABUS4=1
  • DCREMACABUS5=1
  • DCREMACABUS6=1
  • DCREMACABUS7=1
  • DCREMACABUS8=1
  • DCREMACABUS9=1
  • DCREMACCLK=1
  • DCREMACDBUS0=1
  • DCREMACDBUS1=1
  • DCREMACDBUS10=1
  • DCREMACDBUS11=1
  • DCREMACDBUS12=1
  • DCREMACDBUS13=1
  • DCREMACDBUS14=1
  • DCREMACDBUS15=1
  • DCREMACDBUS16=1
  • DCREMACDBUS17=1
  • DCREMACDBUS18=1
  • DCREMACDBUS19=1
  • DCREMACDBUS2=1
  • DCREMACDBUS20=1
  • DCREMACDBUS21=1
  • DCREMACDBUS22=1
  • DCREMACDBUS23=1
  • DCREMACDBUS24=1
  • DCREMACDBUS25=1
  • DCREMACDBUS26=1
  • DCREMACDBUS27=1
  • DCREMACDBUS28=1
  • DCREMACDBUS29=1
  • DCREMACDBUS3=1
  • DCREMACDBUS30=1
  • DCREMACDBUS31=1
  • DCREMACDBUS4=1
  • DCREMACDBUS5=1
  • DCREMACDBUS6=1
  • DCREMACDBUS7=1
  • DCREMACDBUS8=1
  • DCREMACDBUS9=1
  • DCREMACENABLE=1
  • DCREMACREAD=1
  • DCREMACWRITE=1
  • EMACCLIENTRXBADFRAME=1
  • EMACCLIENTRXD0=1
  • EMACCLIENTRXD1=1
  • EMACCLIENTRXD2=1
  • EMACCLIENTRXD3=1
  • EMACCLIENTRXD4=1
  • EMACCLIENTRXD5=1
  • EMACCLIENTRXD6=1
  • EMACCLIENTRXD7=1
  • EMACCLIENTRXDVLD=1
  • EMACCLIENTRXGOODFRAME=1
  • EMACCLIENTTXACK=1
  • EMACCLIENTTXRETRANSMIT=1
  • EMACPHYENCOMMAALIGN=1
  • EMACPHYLOOPBACKMSB=1
  • EMACPHYMGTRXRESET=1
  • EMACPHYMGTTXRESET=1
  • EMACPHYPOWERDOWN=1
  • EMACPHYSYNCACQSTATUS=1
  • EMACPHYTXCHARDISPMODE=1
  • EMACPHYTXCHARDISPVAL=1
  • EMACPHYTXCHARISK=1
  • EMACPHYTXD0=1
  • EMACPHYTXD1=1
  • EMACPHYTXD2=1
  • EMACPHYTXD3=1
  • EMACPHYTXD4=1
  • EMACPHYTXD5=1
  • EMACPHYTXD6=1
  • EMACPHYTXD7=1
  • HOSTADDR0=1
  • HOSTADDR1=1
  • HOSTADDR2=1
  • HOSTADDR3=1
  • HOSTADDR4=1
  • HOSTADDR5=1
  • HOSTADDR6=1
  • HOSTADDR7=1
  • HOSTADDR8=1
  • HOSTADDR9=1
  • HOSTCLK=1
  • HOSTMIIMSEL=1
  • HOSTOPCODE0=1
  • HOSTOPCODE1=1
  • HOSTREQ=1
  • HOSTWRDATA0=1
  • HOSTWRDATA1=1
  • HOSTWRDATA10=1
  • HOSTWRDATA11=1
  • HOSTWRDATA12=1
  • HOSTWRDATA13=1
  • HOSTWRDATA14=1
  • HOSTWRDATA15=1
  • HOSTWRDATA16=1
  • HOSTWRDATA17=1
  • HOSTWRDATA18=1
  • HOSTWRDATA19=1
  • HOSTWRDATA2=1
  • HOSTWRDATA20=1
  • HOSTWRDATA21=1
  • HOSTWRDATA22=1
  • HOSTWRDATA23=1
  • HOSTWRDATA24=1
  • HOSTWRDATA25=1
  • HOSTWRDATA26=1
  • HOSTWRDATA27=1
  • HOSTWRDATA28=1
  • HOSTWRDATA29=1
  • HOSTWRDATA3=1
  • HOSTWRDATA30=1
  • HOSTWRDATA31=1
  • HOSTWRDATA4=1
  • HOSTWRDATA5=1
  • HOSTWRDATA6=1
  • HOSTWRDATA7=1
  • HOSTWRDATA8=1
  • HOSTWRDATA9=1
  • PHYEMACCOL=1
  • PHYEMACCRS=1
  • PHYEMACGTXCLK=1
  • PHYEMACMCLKIN=1
  • PHYEMACMDIN=1
  • PHYEMACMIITXCLK=1
  • PHYEMACPHYAD0=1
  • PHYEMACPHYAD1=1
  • PHYEMACPHYAD2=1
  • PHYEMACPHYAD3=1
  • PHYEMACPHYAD4=1
  • PHYEMACRXBUFSTATUS0=1
  • PHYEMACRXBUFSTATUS1=1
  • PHYEMACRXCHARISCOMMA=1
  • PHYEMACRXCHARISK=1
  • PHYEMACRXCLK=1
  • PHYEMACRXCLKCORCNT0=1
  • PHYEMACRXCLKCORCNT1=1
  • PHYEMACRXCLKCORCNT2=1
  • PHYEMACRXD0=1
  • PHYEMACRXD1=1
  • PHYEMACRXD2=1
  • PHYEMACRXD3=1
  • PHYEMACRXD4=1
  • PHYEMACRXD5=1
  • PHYEMACRXD6=1
  • PHYEMACRXD7=1
  • PHYEMACRXDISPERR=1
  • PHYEMACRXDV=1
  • PHYEMACRXER=1
  • PHYEMACRXNOTINTABLE=1
  • PHYEMACRXRUNDISP=1
  • PHYEMACSIGNALDET=1
  • PHYEMACTXBUFERR=1
  • PHYEMACTXGMIIMIICLKIN=1
  • RESET=1
TEMAC_SINGLE_TEMAC_SINGLE
  • CLIENTEMACDCMLOCKED=1
  • CLIENTEMACPAUSEREQ=1
  • CLIENTEMACPAUSEVAL0=1
  • CLIENTEMACPAUSEVAL1=1
  • CLIENTEMACPAUSEVAL10=1
  • CLIENTEMACPAUSEVAL11=1
  • CLIENTEMACPAUSEVAL12=1
  • CLIENTEMACPAUSEVAL13=1
  • CLIENTEMACPAUSEVAL14=1
  • CLIENTEMACPAUSEVAL15=1
  • CLIENTEMACPAUSEVAL2=1
  • CLIENTEMACPAUSEVAL3=1
  • CLIENTEMACPAUSEVAL4=1
  • CLIENTEMACPAUSEVAL5=1
  • CLIENTEMACPAUSEVAL6=1
  • CLIENTEMACPAUSEVAL7=1
  • CLIENTEMACPAUSEVAL8=1
  • CLIENTEMACPAUSEVAL9=1
  • CLIENTEMACRXCLIENTCLKIN=1
  • CLIENTEMACTXCLIENTCLKIN=1
  • CLIENTEMACTXD0=1
  • CLIENTEMACTXD1=1
  • CLIENTEMACTXD10=1
  • CLIENTEMACTXD11=1
  • CLIENTEMACTXD12=1
  • CLIENTEMACTXD13=1
  • CLIENTEMACTXD14=1
  • CLIENTEMACTXD15=1
  • CLIENTEMACTXD2=1
  • CLIENTEMACTXD3=1
  • CLIENTEMACTXD4=1
  • CLIENTEMACTXD5=1
  • CLIENTEMACTXD6=1
  • CLIENTEMACTXD7=1
  • CLIENTEMACTXD8=1
  • CLIENTEMACTXD9=1
  • CLIENTEMACTXDVLD=1
  • CLIENTEMACTXDVLDMSW=1
  • CLIENTEMACTXFIRSTBYTE=1
  • CLIENTEMACTXIFGDELAY0=1
  • CLIENTEMACTXIFGDELAY1=1
  • CLIENTEMACTXIFGDELAY2=1
  • CLIENTEMACTXIFGDELAY3=1
  • CLIENTEMACTXIFGDELAY4=1
  • CLIENTEMACTXIFGDELAY5=1
  • CLIENTEMACTXIFGDELAY6=1
  • CLIENTEMACTXIFGDELAY7=1
  • CLIENTEMACTXUNDERRUN=1
  • DCREMACABUS0=1
  • DCREMACABUS1=1
  • DCREMACABUS2=1
  • DCREMACABUS3=1
  • DCREMACABUS4=1
  • DCREMACABUS5=1
  • DCREMACABUS6=1
  • DCREMACABUS7=1
  • DCREMACABUS8=1
  • DCREMACABUS9=1
  • DCREMACCLK=1
  • DCREMACDBUS0=1
  • DCREMACDBUS1=1
  • DCREMACDBUS10=1
  • DCREMACDBUS11=1
  • DCREMACDBUS12=1
  • DCREMACDBUS13=1
  • DCREMACDBUS14=1
  • DCREMACDBUS15=1
  • DCREMACDBUS16=1
  • DCREMACDBUS17=1
  • DCREMACDBUS18=1
  • DCREMACDBUS19=1
  • DCREMACDBUS2=1
  • DCREMACDBUS20=1
  • DCREMACDBUS21=1
  • DCREMACDBUS22=1
  • DCREMACDBUS23=1
  • DCREMACDBUS24=1
  • DCREMACDBUS25=1
  • DCREMACDBUS26=1
  • DCREMACDBUS27=1
  • DCREMACDBUS28=1
  • DCREMACDBUS29=1
  • DCREMACDBUS3=1
  • DCREMACDBUS30=1
  • DCREMACDBUS31=1
  • DCREMACDBUS4=1
  • DCREMACDBUS5=1
  • DCREMACDBUS6=1
  • DCREMACDBUS7=1
  • DCREMACDBUS8=1
  • DCREMACDBUS9=1
  • DCREMACENABLE=1
  • DCREMACREAD=1
  • DCREMACWRITE=1
  • EMACCLIENTRXBADFRAME=1
  • EMACCLIENTRXD0=1
  • EMACCLIENTRXD1=1
  • EMACCLIENTRXD2=1
  • EMACCLIENTRXD3=1
  • EMACCLIENTRXD4=1
  • EMACCLIENTRXD5=1
  • EMACCLIENTRXD6=1
  • EMACCLIENTRXD7=1
  • EMACCLIENTRXDVLD=1
  • EMACCLIENTRXGOODFRAME=1
  • EMACCLIENTTXACK=1
  • EMACCLIENTTXRETRANSMIT=1
  • EMACPHYENCOMMAALIGN=1
  • EMACPHYLOOPBACKMSB=1
  • EMACPHYMGTRXRESET=1
  • EMACPHYMGTTXRESET=1
  • EMACPHYPOWERDOWN=1
  • EMACPHYSYNCACQSTATUS=1
  • EMACPHYTXCHARDISPMODE=1
  • EMACPHYTXCHARDISPVAL=1
  • EMACPHYTXCHARISK=1
  • EMACPHYTXD0=1
  • EMACPHYTXD1=1
  • EMACPHYTXD2=1
  • EMACPHYTXD3=1
  • EMACPHYTXD4=1
  • EMACPHYTXD5=1
  • EMACPHYTXD6=1
  • EMACPHYTXD7=1
  • HOSTADDR0=1
  • HOSTADDR1=1
  • HOSTADDR2=1
  • HOSTADDR3=1
  • HOSTADDR4=1
  • HOSTADDR5=1
  • HOSTADDR6=1
  • HOSTADDR7=1
  • HOSTADDR8=1
  • HOSTADDR9=1
  • HOSTCLK=1
  • HOSTMIIMSEL=1
  • HOSTOPCODE0=1
  • HOSTOPCODE1=1
  • HOSTREQ=1
  • HOSTWRDATA0=1
  • HOSTWRDATA1=1
  • HOSTWRDATA10=1
  • HOSTWRDATA11=1
  • HOSTWRDATA12=1
  • HOSTWRDATA13=1
  • HOSTWRDATA14=1
  • HOSTWRDATA15=1
  • HOSTWRDATA16=1
  • HOSTWRDATA17=1
  • HOSTWRDATA18=1
  • HOSTWRDATA19=1
  • HOSTWRDATA2=1
  • HOSTWRDATA20=1
  • HOSTWRDATA21=1
  • HOSTWRDATA22=1
  • HOSTWRDATA23=1
  • HOSTWRDATA24=1
  • HOSTWRDATA25=1
  • HOSTWRDATA26=1
  • HOSTWRDATA27=1
  • HOSTWRDATA28=1
  • HOSTWRDATA29=1
  • HOSTWRDATA3=1
  • HOSTWRDATA30=1
  • HOSTWRDATA31=1
  • HOSTWRDATA4=1
  • HOSTWRDATA5=1
  • HOSTWRDATA6=1
  • HOSTWRDATA7=1
  • HOSTWRDATA8=1
  • HOSTWRDATA9=1
  • PHYEMACCOL=1
  • PHYEMACCRS=1
  • PHYEMACGTXCLK=1
  • PHYEMACMCLKIN=1
  • PHYEMACMDIN=1
  • PHYEMACMIITXCLK=1
  • PHYEMACPHYAD0=1
  • PHYEMACPHYAD1=1
  • PHYEMACPHYAD2=1
  • PHYEMACPHYAD3=1
  • PHYEMACPHYAD4=1
  • PHYEMACRXBUFSTATUS0=1
  • PHYEMACRXBUFSTATUS1=1
  • PHYEMACRXCHARISCOMMA=1
  • PHYEMACRXCHARISK=1
  • PHYEMACRXCLK=1
  • PHYEMACRXCLKCORCNT0=1
  • PHYEMACRXCLKCORCNT1=1
  • PHYEMACRXCLKCORCNT2=1
  • PHYEMACRXD0=1
  • PHYEMACRXD1=1
  • PHYEMACRXD2=1
  • PHYEMACRXD3=1
  • PHYEMACRXD4=1
  • PHYEMACRXD5=1
  • PHYEMACRXD6=1
  • PHYEMACRXD7=1
  • PHYEMACRXDISPERR=1
  • PHYEMACRXDV=1
  • PHYEMACRXER=1
  • PHYEMACRXNOTINTABLE=1
  • PHYEMACRXRUNDISP=1
  • PHYEMACSIGNALDET=1
  • PHYEMACTXBUFERR=1
  • PHYEMACTXGMIIMIICLKIN=1
  • RESET=1
 
Tool Usage
Command Line History
  • xst -intstyle ise -ifn <ise_file>
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc6vlx130t-ff1156-1 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6vlx130t-ff1156-1 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt 2 -ir off -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt 2 <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 1 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
  • xst -intstyle ise -ifn <ise_file>
  • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc6vlx130t-ff1156-1 <fname>.ngc <fname>.ngd
  • map -intstyle ise -p xc6vlx130t-ff1156-1 -w -logic_opt off -ol high -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt 2 -ir off -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
  • par -w -intstyle ise -ol high -mt 2 <fname>.ncd <fname>.ncd <fname>.pcf
  • trce -intstyle ise -v 3 -s 1 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf
  • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
 
Software Quality
Run Statistics
Program NameRuns StartedRuns FinishedErrorsFatal ErrorsInternal ErrorsExceptionsCore Dumps
_impact 3 2 0 0 0 0 0
bitgen 5 5 0 0 0 0 0
edif2ngd 2 2 0 0 0 0 0
map 6 6 0 0 0 0 0
netgen 4 4 0 0 0 0 0
ngc2edif 5 5 0 0 0 0 0
ngcbuild 2 2 0 0 0 0 0
ngdbuild 6 6 0 0 0 0 0
par 6 6 0 0 0 0 0
trce 6 6 0 0 0 0 0
xst 24 24 0 0 0 0 0
 
Help Statistics
Search words with results
gigabit transceiver ( 1 ) tcl ( 1 )
transceiver ( 1 ) wizard ( 2 )
Unsuccessful Search words
gtx ( 1 ) gtx wizard ( 2 )
gtx_wizard ( 1 )
Help files
/doc/usenglish/isehelp/dsm_c_design_summary_overview.htm ( 1 ) /doc/usenglish/isehelp/ise_c_overview.htm ( 1 )
/doc/usenglish/isehelp/ise_c_simulation_libraries.htm ( 1 ) /doc/usenglish/isehelp/ite_c_overview.htm ( 1 )
/doc/usenglish/isehelp/pim_db_impact_wizard.htm ( 1 ) /doc/usenglish/isehelp/pn_db_nsw_select_ip.htm ( 1 )
/doc/usenglish/isehelp/pn_r_using_tcl_tab.htm ( 1 ) http://www.xilinx.com/cgi-bin/docs/rdoc?l=en;v=14.7;d=sim.pdf ( 2 )
 
Project Statistics
PROPEXT_xilxBitgCfg_DCIUpdateMode_virtex6=As Required PROPEXT_xilxMapPackRegInto_virtex5=For Inputs and Outputs
PROP_Enable_Message_Filtering=false PROP_FitterReportFormat=HTML
PROP_LastAppliedGoal=Balanced PROP_LastAppliedStrategy=Xilinx Default (unlocked)
PROP_ManualCompileOrderImp=false PROP_PropSpecInProjFile=Store all values
PROP_Simulator=ISim (VHDL/Verilog) PROP_SynthTopFile=changed
PROP_Top_Level_Module_Type=HDL PROP_UseSmartGuide=false
PROP_UserConstraintEditorPreference=Text Editor PROP_intProjectCreationTimestamp=2014-01-08T10:14:16
PROP_intWbtProjectID=6746599D1FF0C051FBBBABAC91FADE89 PROP_intWbtProjectIteration=2
PROP_intWorkingDirLocWRTProjDir=Same PROP_intWorkingDirUsed=No
PROP_selectedSimRootSourceNode_behav=work.top PROP_xilxBitgCfg_GenOpt_Compress_virtex5=true
PROP_xilxBitgStart_IntDone_virtex5=true PROP_AutoTop=true
PROP_DevFamily=Virtex6 PROP_xilxMapEnableMultiThreading=2
PROP_DevDevice=xc6vlx130t PROP_DevFamilyPMName=virtex6
PROP_DevPackage=ff1156 PROP_Synthesis_Tool=XST (VHDL/Verilog)
PROP_parEnableMultiThreading_virtex5=2 PROP_DevSpeed=-1
PROP_PreferredLanguage=Verilog FILE_COREGEN=1
FILE_UCF=1 FILE_VHDL=51
 
Core Statistics
Core Type=v6_gtxwizard_v1_9
protocol_file=gigabit_ethernet
Core Type=v6_emac_v2_3
c_add_filter=false c_at_entries=0 c_axi_ipif=true c_byte_phy=false
c_client_16=false c_client_width=8 c_cntr_rst=true c_component_name=v6_emac_v2_3_sgmii
c_ctrl_lencheck_disable=false c_dcr_base_address=000 c_has_clock_enable=false c_has_dcr=false
c_has_gmii=false c_has_gpcs=false c_has_host=false c_has_mdio=true
c_has_mii=false c_has_rgmii_v1_3=false c_has_rgmii_v2_0=false c_has_sgmii=true
c_has_stats=false c_link_timer_value=032 c_lt_check_dis=false c_num_stats=44
c_overclocking_rate_2000mbps=false c_overclocking_rate_2500mbps=false c_phy_an=true c_phy_gtloopback=false
c_phy_ignore_adzero=false c_phy_isolate=false c_phy_loopback_msb=false c_phy_powerdown=false
c_phy_reset=false c_phy_unidirection_enable=false c_phy_width=8 c_rx=true
c_rx_fcs=false c_rx_flow_control=false c_rx_half_duplex=false c_rx_jumbo=false
c_rx_reset=false c_rx_vlan=false c_serial_mode_switch_en=false c_speed_10=false
c_speed_100=false c_speed_1000=true c_stats_width=32 c_tri_speed=false
c_tx=true c_tx_fcs=false c_tx_flow_control=false c_tx_half_duplex=false
c_tx_ifg=false c_tx_jumbo=false c_tx_reset=false c_tx_vlan=false
c_unicast_pause_address=AABBCCDDEEFF sgmii_fabric_buffer=true
 
Unisim Statistics
XST_UNISIM_SUMMARY
XST_NUM_BUFG=1 XST_NUM_BUFR=1 XST_NUM_FD=2 XST_NUM_FDPE=2
XST_NUM_GTXE1=1 XST_NUM_SRL16=1
NGDBUILD_PRE_UNISIM_SUMMARY
NGDBUILD_NUM_BUFG=4 NGDBUILD_NUM_BUFR=1 NGDBUILD_NUM_FD=985 NGDBUILD_NUM_FDC=28
NGDBUILD_NUM_FDE=1011 NGDBUILD_NUM_FDP=8 NGDBUILD_NUM_FDPE=2 NGDBUILD_NUM_FDR=318
NGDBUILD_NUM_FDRE=1143 NGDBUILD_NUM_FDS=62 NGDBUILD_NUM_FDSE=51 NGDBUILD_NUM_GND=2
NGDBUILD_NUM_GTXE1=1 NGDBUILD_NUM_IBUF=4 NGDBUILD_NUM_IBUFDS_GTXE1=1 NGDBUILD_NUM_INV=36
NGDBUILD_NUM_LUT1=124 NGDBUILD_NUM_LUT2=273 NGDBUILD_NUM_LUT3=1136 NGDBUILD_NUM_LUT4=462
NGDBUILD_NUM_LUT5=534 NGDBUILD_NUM_LUT6=1118 NGDBUILD_NUM_MMCM_ADV=1 NGDBUILD_NUM_MUXCY=373
NGDBUILD_NUM_MUXF7=20 NGDBUILD_NUM_MUXF8=5 NGDBUILD_NUM_OBUF=6 NGDBUILD_NUM_RAMB36E1=19
NGDBUILD_NUM_SRL16=3 NGDBUILD_NUM_SRLC16E=2 NGDBUILD_NUM_TEMAC_SINGLE=1 NGDBUILD_NUM_VCC=1
NGDBUILD_NUM_XORCY=266
NGDBUILD_POST_UNISIM_SUMMARY
NGDBUILD_NUM_BUFG=4 NGDBUILD_NUM_BUFR=1 NGDBUILD_NUM_FD=985 NGDBUILD_NUM_FDC=28
NGDBUILD_NUM_FDE=1011 NGDBUILD_NUM_FDP=8 NGDBUILD_NUM_FDPE=2 NGDBUILD_NUM_FDR=318
NGDBUILD_NUM_FDRE=1143 NGDBUILD_NUM_FDS=62 NGDBUILD_NUM_FDSE=51 NGDBUILD_NUM_GND=3
NGDBUILD_NUM_GTXE1=1 NGDBUILD_NUM_IBUF=4 NGDBUILD_NUM_IBUFDS_GTXE1=1 NGDBUILD_NUM_INV=36
NGDBUILD_NUM_LUT1=124 NGDBUILD_NUM_LUT2=273 NGDBUILD_NUM_LUT3=1136 NGDBUILD_NUM_LUT4=462
NGDBUILD_NUM_LUT5=534 NGDBUILD_NUM_LUT6=1118 NGDBUILD_NUM_MMCM_ADV=1 NGDBUILD_NUM_MUXCY=373
NGDBUILD_NUM_MUXF7=20 NGDBUILD_NUM_MUXF8=5 NGDBUILD_NUM_OBUF=6 NGDBUILD_NUM_RAMB36E1=19
NGDBUILD_NUM_SRL16E=3 NGDBUILD_NUM_SRLC16E=2 NGDBUILD_NUM_TEMAC_SINGLE=1 NGDBUILD_NUM_TS_TIMESPEC=1
NGDBUILD_NUM_VCC=2 NGDBUILD_NUM_XORCY=266
 
XST Command Line Options
XST_OPTION_SUMMARY
-ifn=<fname>.prj -ofn=<design_top> -ofmt=NGC -p=xc6vlx130t-1-ff1156
-top=<design_top> -opt_mode=Speed -opt_level=1 -power=NO
-iuc=NO -keep_hierarchy=No -netlist_hierarchy=As_Optimized -rtlview=Yes
-glob_opt=AllClockNets -read_cores=YES -sd=<No customer specific name> -write_timing_constraints=NO
-cross_clock_analysis=NO -bus_delimiter=<> -slice_utilization_ratio=100 -bram_utilization_ratio=100
-dsp_utilization_ratio=100 -reduce_control_sets=Auto -fsm_extract=YES -fsm_encoding=Auto
-safe_implementation=No -fsm_style=LUT -ram_extract=Yes -ram_style=Auto
-rom_extract=Yes -shreg_extract=YES -rom_style=Auto -auto_bram_packing=NO
-resource_sharing=YES -async_to_sync=NO -use_dsp48=Auto -iobuf=YES
-max_fanout=100000 -bufg=32 -register_duplication=YES -register_balancing=No
-optimize_primitives=NO -use_clock_enable=Auto -use_sync_set=Auto -use_sync_reset=Auto
-iob=Auto -equivalent_register_removal=YES -slice_utilization_ratio_maxmargin=5