------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version : 2.3 -- \ \ Application : 7 Series FPGAs Transceivers Wizard -- / / Filename : gtwizard_v2_3_gbe_gth_gt.vhd -- /___/ /\ -- \ \ / \ -- \___\/\___\ -- -- -- Module gtwizard_v2_3_gbe_gth_GT (a GT Wrapper) -- Generated by Xilinx 7 Series FPGAs Transceivers Wizard -- -- -- (c) Copyright 2010-2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library UNISIM; use UNISIM.VCOMPONENTS.ALL; --***************************** Entity Declaration **************************** entity gtwizard_v2_3_gbe_gth_GT is generic ( -- Simulation attributes GT_SIM_GTRESET_SPEEDUP : string := "false"; -- Set to "true" to speed up sim reset EXAMPLE_SIMULATION : integer := 0; -- Set to 1 for simulation TXSYNC_OVRD_IN : bit := '0'; TXSYNC_MULTILANE_IN : bit := '0' ); port ( ---------------------------------- Channel --------------------------------- QPLLCLK_IN : in std_logic; QPLLREFCLK_IN : in std_logic; ------------------------- Channel - Ref Clock Ports ------------------------ GTREFCLK0_IN : in std_logic; -------------------------------- Channel PLL ------------------------------- CPLLFBCLKLOST_OUT : out std_logic; CPLLLOCK_OUT : out std_logic; CPLLLOCKDETCLK_IN : in std_logic; CPLLREFCLKLOST_OUT : out std_logic; CPLLRESET_IN : in std_logic; ------------------------------- Eye Scan Ports ----------------------------- EYESCANDATAERROR_OUT : out std_logic; ------------------------ Loopback and Powerdown Ports ---------------------- LOOPBACK_IN : in std_logic_vector(2 downto 0); RXPD_IN : in std_logic_vector(1 downto 0); TXPD_IN : in std_logic_vector(1 downto 0); ------------------------------- Receive Ports ------------------------------ RXUSERRDY_IN : in std_logic; ----------------------- Receive Ports - 8b10b Decoder ---------------------- RXCHARISCOMMA_OUT : out std_logic_vector(1 downto 0); RXCHARISK_OUT : out std_logic_vector(1 downto 0); RXDISPERR_OUT : out std_logic_vector(1 downto 0); RXNOTINTABLE_OUT : out std_logic_vector(1 downto 0); ------------------- Receive Ports - Clock Correction Ports ----------------- RXCLKCORCNT_OUT : out std_logic_vector(1 downto 0); --------------- Receive Ports - Comma Detection and Alignment -------------- RXMCOMMAALIGNEN_IN : in std_logic; RXPCOMMAALIGNEN_IN : in std_logic; ------------------- Receive Ports - RX Data Path interface ----------------- GTRXRESET_IN : in std_logic; RXDATA_OUT : out std_logic_vector(15 downto 0); RXOUTCLK_OUT : out std_logic; RXPCSRESET_IN : in std_logic; RXUSRCLK_IN : in std_logic; RXUSRCLK2_IN : in std_logic; ------------ Receive Ports - RX Decision Feedback Equalizer(DFE) ----------- RXDFEAGCHOLD_IN : in std_logic; RXMONITOROUT_OUT : out std_logic_vector(6 downto 0); RXMONITORSEL_IN : in std_logic_vector(1 downto 0); ------- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------ GTHRXN_IN : in std_logic; GTHRXP_IN : in std_logic; RXCDRLOCK_OUT : out std_logic; RXELECIDLE_OUT : out std_logic; -------- Receive Ports - RX Elastic Buffer and Phase Alignment Ports ------- RXBUFRESET_IN : in std_logic; RXBUFSTATUS_OUT : out std_logic_vector(2 downto 0); ------------------------ Receive Ports - RX PLL Ports ---------------------- RXRESETDONE_OUT : out std_logic; ------------------------------- Transmit Ports ----------------------------- TXUSERRDY_IN : in std_logic; ---------------- Transmit Ports - 8b10b Encoder Control Ports -------------- TXCHARDISPMODE_IN : in std_logic_vector(1 downto 0); TXCHARDISPVAL_IN : in std_logic_vector(1 downto 0); TXCHARISK_IN : in std_logic_vector(1 downto 0); ------------ Transmit Ports - TX Buffer and Phase Alignment Ports ---------- TXBUFSTATUS_OUT : out std_logic_vector(1 downto 0); ------------------ Transmit Ports - TX Data Path interface ----------------- GTTXRESET_IN : in std_logic; TXDATA_IN : in std_logic_vector(15 downto 0); TXOUTCLK_OUT : out std_logic; TXOUTCLKFABRIC_OUT : out std_logic; TXOUTCLKPCS_OUT : out std_logic; TXPCSRESET_IN : in std_logic; TXUSRCLK_IN : in std_logic; TXUSRCLK2_IN : in std_logic; ---------------- Transmit Ports - TX Driver and OOB signaling -------------- GTHTXN_OUT : out std_logic; GTHTXP_OUT : out std_logic; ----------------------- Transmit Ports - TX PLL Ports ---------------------- TXRESETDONE_OUT : out std_logic ); end gtwizard_v2_3_gbe_gth_GT; architecture RTL of gtwizard_v2_3_gbe_gth_GT is --**************************** Signal Declarations **************************** -- ground and tied_to_vcc_i signals signal tied_to_ground_i : std_logic; signal tied_to_ground_vec_i : std_logic_vector(63 downto 0); signal tied_to_vcc_i : std_logic; -- RX Datapath signals signal rxdata_i : std_logic_vector(63 downto 0); signal rxchariscomma_float_i : std_logic_vector(5 downto 0); signal rxcharisk_float_i : std_logic_vector(5 downto 0); signal rxdisperr_float_i : std_logic_vector(5 downto 0); signal rxnotintable_float_i : std_logic_vector(5 downto 0); signal rxrundisp_float_i : std_logic_vector(5 downto 0); -- TX Datapath signals signal txdata_i : std_logic_vector(63 downto 0); signal txkerr_float_i : std_logic_vector(5 downto 0); signal txrundisp_float_i : std_logic_vector(5 downto 0); --******************************** Main Body of Code*************************** begin --------------------------- Static signal Assignments --------------------- tied_to_ground_i <= '0'; tied_to_ground_vec_i(63 downto 0) <= (others => '0'); tied_to_vcc_i <= '1'; ------------------- GT Datapath byte mapping ----------------- RXDATA_OUT <= rxdata_i(15 downto 0); txdata_i <= (tied_to_ground_vec_i(47 downto 0) & TXDATA_IN); ----------------------------- GTHE2 Instance -------------------------- gthe2_i : GTHE2_CHANNEL generic map ( --_______________________ Simulation-Only Attributes ___________________ SIM_RECEIVER_DETECT_PASS => ("TRUE"), SIM_RESET_SPEEDUP => (GT_SIM_GTRESET_SPEEDUP), SIM_TX_EIDLE_DRIVE_LEVEL => ("X"), SIM_CPLLREFCLK_SEL => ("001"), SIM_VERSION => ("2.0"), ------------------RX Byte and Word Alignment Attributes--------------- ALIGN_COMMA_DOUBLE => ("FALSE"), ALIGN_COMMA_ENABLE => ("0001111111"), ALIGN_COMMA_WORD => (2), ALIGN_MCOMMA_DET => ("TRUE"), ALIGN_MCOMMA_VALUE => ("1010000011"), ALIGN_PCOMMA_DET => ("TRUE"), ALIGN_PCOMMA_VALUE => ("0101111100"), SHOW_REALIGN_COMMA => ("TRUE"), RXSLIDE_AUTO_WAIT => (7), RXSLIDE_MODE => ("OFF"), RX_SIG_VALID_DLY => (10), ------------------RX 8B/10B Decoder Attributes--------------- RX_DISPERR_SEQ_MATCH => ("TRUE"), DEC_MCOMMA_DETECT => ("TRUE"), DEC_PCOMMA_DETECT => ("TRUE"), DEC_VALID_COMMA_ONLY => ("FALSE"), ------------------------RX Clock Correction Attributes---------------------- CBCC_DATA_SOURCE_SEL => ("DECODED"), CLK_COR_SEQ_2_USE => ("TRUE"), CLK_COR_KEEP_IDLE => ("FALSE"), CLK_COR_MAX_LAT => (15), CLK_COR_MIN_LAT => (12), CLK_COR_PRECEDENCE => ("TRUE"), CLK_COR_REPEAT_WAIT => (0), CLK_COR_SEQ_LEN => (2), CLK_COR_SEQ_1_ENABLE => ("1111"), CLK_COR_SEQ_1_1 => ("0110111100"), CLK_COR_SEQ_1_2 => ("0001010000"), CLK_COR_SEQ_1_3 => ("0000000000"), CLK_COR_SEQ_1_4 => ("0000000000"), CLK_CORRECT_USE => ("TRUE"), CLK_COR_SEQ_2_ENABLE => ("1111"), CLK_COR_SEQ_2_1 => ("0110111100"), CLK_COR_SEQ_2_2 => ("0010110101"), CLK_COR_SEQ_2_3 => ("0000000000"), CLK_COR_SEQ_2_4 => ("0000000000"), ------------------------RX Channel Bonding Attributes---------------------- CHAN_BOND_KEEP_ALIGN => ("FALSE"), CHAN_BOND_MAX_SKEW => (1), CHAN_BOND_SEQ_LEN => (1), CHAN_BOND_SEQ_1_1 => ("0000000000"), CHAN_BOND_SEQ_1_2 => ("0000000000"), CHAN_BOND_SEQ_1_3 => ("0000000000"), CHAN_BOND_SEQ_1_4 => ("0000000000"), CHAN_BOND_SEQ_1_ENABLE => ("1111"), CHAN_BOND_SEQ_2_1 => ("0000000000"), CHAN_BOND_SEQ_2_2 => ("0000000000"), CHAN_BOND_SEQ_2_3 => ("0000000000"), CHAN_BOND_SEQ_2_4 => ("0000000000"), CHAN_BOND_SEQ_2_ENABLE => ("1111"), CHAN_BOND_SEQ_2_USE => ("FALSE"), FTS_DESKEW_SEQ_ENABLE => ("1111"), FTS_LANE_DESKEW_CFG => ("1111"), FTS_LANE_DESKEW_EN => ("FALSE"), ---------------------------RX Margin Analysis Attributes---------------------------- ES_CONTROL => ("000000"), ES_ERRDET_EN => ("FALSE"), ES_EYE_SCAN_EN => ("TRUE"), ES_HORZ_OFFSET => (x"000"), ES_PMA_CFG => ("0000000000"), ES_PRESCALE => ("00000"), ES_QUALIFIER => (x"00000000000000000000"), ES_QUAL_MASK => (x"00000000000000000000"), ES_SDATA_MASK => (x"00000000000000000000"), ES_VERT_OFFSET => ("000000000"), -------------------------FPGA RX Interface Attributes------------------------- RX_DATA_WIDTH => (20), ---------------------------PMA Attributes---------------------------- OUTREFCLK_SEL_INV => ("11"), PMA_RSV => ("00000000000000000000000010000000"), PMA_RSV2 => (x"1C00000A"), PMA_RSV3 => ("00"), PMA_RSV4 => (x"0008"), RX_BIAS_CFG => ("000011000000000000010000"), DMONITOR_CFG => (x"000A00"), -- DMN CHANGE RX_CM_SEL => ("11"), -- RX_CM_SEL => ("01"), RX_CM_TRIM => ("1010"), RX_DEBUG_CFG => ("00000000000000"), RX_OS_CFG => ("0000010000000"), TERM_RCAL_CFG => ("100001000010000"), TERM_RCAL_OVRD => ("000"), TST_RSV => (x"00000000"), RX_CLK25_DIV => (5), TX_CLK25_DIV => (5), UCODEER_CLR => ('0'), ---------------------------PCI Express Attributes---------------------------- PCS_PCIE_EN => ("FALSE"), ---------------------------PCS Attributes---------------------------- PCS_RSVD_ATTR => (x"000000000000"), -------------RX Buffer Attributes------------ RXBUF_ADDR_MODE => ("FULL"), RXBUF_EIDLE_HI_CNT => ("1000"), RXBUF_EIDLE_LO_CNT => ("0000"), RXBUF_EN => ("TRUE"), RX_BUFFER_CFG => ("000000"), RXBUF_RESET_ON_CB_CHANGE => ("TRUE"), RXBUF_RESET_ON_COMMAALIGN => ("FALSE"), RXBUF_RESET_ON_EIDLE => ("FALSE"), RXBUF_RESET_ON_RATE_CHANGE => ("TRUE"), RXBUFRESET_TIME => ("00001"), RXBUF_THRESH_OVFLW => (61), RXBUF_THRESH_OVRD => ("FALSE"), RXBUF_THRESH_UNDFLW => (4), RXDLY_CFG => (x"001F"), RXDLY_LCFG => (x"030"), RXDLY_TAP_CFG => (x"0000"), RXPH_CFG => (x"000000"), RXPHDLY_CFG => (x"084020"), RXPH_MONITOR_SEL => ("00000"), RX_XCLK_SEL => ("RXREC"), RX_DDI_SEL => ("000000"), RX_DEFER_RESET_BUF_EN => ("TRUE"), -----------------------CDR Attributes------------------------- --For GTX only: Display Port, HBR/RBR- set RXCDR_CFG=72'h0380008bff40200002 --For GTX only: Display Port, HBR2 - set RXCDR_CFG=72'h03000023ff10200020 RXCDR_CFG => (x"0002007FE0800C2200018"), RXCDR_FR_RESET_ON_EIDLE => ('0'), RXCDR_HOLD_DURING_EIDLE => ('0'), RXCDR_PH_RESET_ON_EIDLE => ('0'), RXCDR_LOCK_CFG => ("010101"), -------------------RX Initialization and Reset Attributes------------------- RXCDRFREQRESET_TIME => ("00001"), RXCDRPHRESET_TIME => ("00001"), RXISCANRESET_TIME => ("00001"), RXPCSRESET_TIME => ("00001"), RXPMARESET_TIME => ("00011"), -------------------RX OOB Signaling Attributes------------------- RXOOB_CFG => ("0000110"), -------------------------RX Gearbox Attributes--------------------------- RXGEARBOX_EN => ("FALSE"), GEARBOX_MODE => ("000"), -------------------------PRBS Detection Attribute----------------------- RXPRBS_ERR_LOOPBACK => ('0'), -------------Power-Down Attributes---------- PD_TRANS_TIME_FROM_P2 => (x"03c"), PD_TRANS_TIME_NONE_P2 => (x"19"), PD_TRANS_TIME_TO_P2 => (x"64"), -------------RX OOB Signaling Attributes---------- SAS_MAX_COM => (64), SAS_MIN_COM => (36), SATA_BURST_SEQ_LEN => ("1111"), SATA_BURST_VAL => ("100"), SATA_EIDLE_VAL => ("100"), SATA_MAX_BURST => (8), SATA_MAX_INIT => (21), SATA_MAX_WAKE => (7), SATA_MIN_BURST => (4), SATA_MIN_INIT => (12), SATA_MIN_WAKE => (4), -------------RX Fabric Clock Output Control Attributes---------- TRANS_TIME_RATE => (x"0E"), --------------TX Buffer Attributes---------------- TXBUF_EN => ("TRUE"), TXBUF_RESET_ON_RATE_CHANGE => ("TRUE"), TXDLY_CFG => (x"001F"), TXDLY_LCFG => (x"030"), TXDLY_TAP_CFG => (x"0000"), TXPH_CFG => (x"0780"), TXPHDLY_CFG => (x"084020"), TXPH_MONITOR_SEL => ("00000"), TX_XCLK_SEL => ("TXOUT"), -------------------------FPGA TX Interface Attributes------------------------- TX_DATA_WIDTH => (20), -------------------------TX Configurable Driver Attributes------------------------- TX_DEEMPH0 => ("000000"), TX_DEEMPH1 => ("000000"), TX_EIDLE_ASSERT_DELAY => ("110"), TX_EIDLE_DEASSERT_DELAY => ("100"), TX_LOOPBACK_DRIVE_HIZ => ("FALSE"), TX_MAINCURSOR_SEL => ('0'), TX_DRIVE_MODE => ("DIRECT"), TX_MARGIN_FULL_0 => ("1001110"), TX_MARGIN_FULL_1 => ("1001001"), TX_MARGIN_FULL_2 => ("1000101"), TX_MARGIN_FULL_3 => ("1000010"), TX_MARGIN_FULL_4 => ("1000000"), TX_MARGIN_LOW_0 => ("1000110"), TX_MARGIN_LOW_1 => ("1000100"), TX_MARGIN_LOW_2 => ("1000010"), TX_MARGIN_LOW_3 => ("1000000"), TX_MARGIN_LOW_4 => ("1000000"), -------------------------TX Gearbox Attributes-------------------------- TXGEARBOX_EN => ("FALSE"), -------------------------TX Initialization and Reset Attributes-------------------------- TXPCSRESET_TIME => ("00001"), TXPMARESET_TIME => ("00001"), -------------------------TX Receiver Detection Attributes-------------------------- TX_RXDETECT_CFG => (x"1832"), TX_RXDETECT_REF => ("100"), ----------------------------CPLL Attributes---------------------------- CPLL_CFG => (x"00BC07DC"), CPLL_FBDIV => (4), CPLL_FBDIV_45 => (5), CPLL_INIT_CFG => (x"00001E"), CPLL_LOCK_CFG => (x"01E8"), CPLL_REFCLK_DIV => (1), RXOUT_DIV => (4), TXOUT_DIV => (4), SATA_CPLL_CFG => ("VCO_3000MHZ"), --------------RX Initialization and Reset Attributes------------- RXDFELPMRESET_TIME => ("0001111"), --------------RX Equalizer Attributes------------- RXLPM_HF_CFG => ("00001000000000"), RXLPM_LF_CFG => ("001001000000000000"), RX_DFE_GAIN_CFG => (x"0020C0"), RX_DFE_H2_CFG => ("000000000000"), RX_DFE_H3_CFG => ("000001000000"), RX_DFE_H4_CFG => ("00011100000"), RX_DFE_H5_CFG => ("00011100000"), -- DMN CHANGE RX_DFE_KL_CFG => ("001000001000000000000001100010000"), -- RX_DFE_KL_CFG => ("000000000000000000000001100010000"), RX_DFE_LPM_CFG => (x"0080"), RX_DFE_LPM_HOLD_DURING_EIDLE => ('0'), RX_DFE_UT_CFG => ("00011100000000000"), RX_DFE_VP_CFG => ("00011101010100011"), -------------------------Power-Down Attributes------------------------- RX_CLKMUX_PD => ('1'), TX_CLKMUX_PD => ('1'), -------------------------FPGA RX Interface Attribute------------------------- RX_INT_DATAWIDTH => (0), -------------------------FPGA TX Interface Attribute------------------------- TX_INT_DATAWIDTH => (0), ------------------TX Configurable Driver Attributes--------------- TX_QPI_STATUS_EN => ('0'), ------------------ JTAG Attributes --------------- ACJTAG_DEBUG_MODE => ('0'), ACJTAG_MODE => ('0'), ACJTAG_RESET => ('0'), ADAPT_CFG0 => (x"00C10"), CFOK_CFG => (x"24800040E80"), CFOK_CFG2 => (x"20"), CFOK_CFG3 => (x"20"), ES_CLK_PHASE_SEL => ('0'), PMA_RSV5 => (x"0"), RESET_POWERSAVE_DISABLE => ('0'), USE_PCS_CLK_PHASE_SEL => ('0'), A_RXOSCALRESET => ('0'), ------------------ RX Phase Interpolator Attributes--------------- RXPI_CFG0 => ("00"), RXPI_CFG1 => ("00"), RXPI_CFG2 => ("00"), RXPI_CFG3 => ("11"), RXPI_CFG4 => ('1'), RXPI_CFG5 => ('1'), RXPI_CFG6 => ("001"), --------------RX Decision Feedback Equalizer(DFE)------------- RX_DFELPM_CFG0 => ("0110"), RX_DFELPM_CFG1 => ('0'), RX_DFELPM_KLKH_AGC_STUP_EN => ('1'), RX_DFE_AGC_CFG0 => ("00"), RX_DFE_AGC_CFG1 => ("100"), RX_DFE_AGC_CFG2 => ("0000"), RX_DFE_AGC_OVRDEN => ('1'), RX_DFE_H6_CFG => (x"020"), RX_DFE_H7_CFG => (x"020"), RX_DFE_KL_LPM_KH_CFG0 => ("10"), RX_DFE_KL_LPM_KH_CFG1 => ("010"), RX_DFE_KL_LPM_KH_CFG2 => ("0010"), RX_DFE_KL_LPM_KH_OVRDEN => ('1'), RX_DFE_KL_LPM_KL_CFG0 => ("10"), RX_DFE_KL_LPM_KL_CFG1 => ("010"), RX_DFE_KL_LPM_KL_CFG2 => ("0010"), RX_DFE_KL_LPM_KL_OVRDEN => ('1'), RX_DFE_ST_CFG => (x"00E100000C003F"), ------------------ TX Phase Interpolator Attributes--------------- TXPI_CFG0 => ("00"), TXPI_CFG1 => ("00"), TXPI_CFG2 => ("00"), TXPI_CFG3 => ('0'), TXPI_CFG4 => ('0'), TXPI_CFG5 => ("100"), TXPI_GREY_SEL => ('0'), TXPI_INVSTROBE_SEL => ('0'), TXPI_PPMCLK_SEL => ("TXUSRCLK2"), TXPI_PPM_CFG => (x"00"), TXPI_SYNFREQ_PPM => ("000"), TX_RXDETECT_PRECHARGE_TIME => (x"155CC"), ------------------ LOOPBACK Attributes--------------- LOOPBACK_CFG => ('0'), ------------------RX OOB Signalling Attributes--------------- RXOOB_CLK_CFG => ("PMA"), ------------------ CDR Attributes --------------- RXOSCALRESET_TIME => ("00011"), RXOSCALRESET_TIMEOUT => ("00000"), ------------------TX OOB Signalling Attributes--------------- TXOOB_CFG => ('0'), ------------------RX Buffer Attributes--------------- RXSYNC_MULTILANE => ('0'), RXSYNC_OVRD => ('0'), RXSYNC_SKIP_DA => ('0'), ------------------TX Buffer Attributes--------------- TXSYNC_MULTILANE => (TXSYNC_MULTILANE_IN), TXSYNC_OVRD => (TXSYNC_OVRD_IN), TXSYNC_SKIP_DA => ('0') ) port map ( ---------------------------------- Channel --------------------------------- CFGRESET => tied_to_ground_i, GTRESETSEL => tied_to_ground_i, GTRSVD => "0000000000000000", QPLLCLK => QPLLCLK_IN, QPLLREFCLK => QPLLREFCLK_IN, RESETOVRD => tied_to_ground_i, ---------------- Channel - Dynamic Reconfiguration Port (DRP) -------------- DRPADDR => tied_to_ground_vec_i(8 downto 0), DRPCLK => tied_to_ground_i, DRPDI => tied_to_ground_vec_i(15 downto 0), DRPDO => open, DRPEN => tied_to_ground_i, DRPRDY => open, DRPWE => tied_to_ground_i, ------------------------- Channel - Ref Clock Ports ------------------------ GTGREFCLK => tied_to_ground_i, GTNORTHREFCLK0 => tied_to_ground_i, GTNORTHREFCLK1 => tied_to_ground_i, GTREFCLK0 => GTREFCLK0_IN, GTREFCLK1 => tied_to_ground_i, GTREFCLKMONITOR => open, GTSOUTHREFCLK0 => tied_to_ground_i, GTSOUTHREFCLK1 => tied_to_ground_i, -------------------------------- Channel PLL ------------------------------- CPLLFBCLKLOST => CPLLFBCLKLOST_OUT, CPLLLOCK => CPLLLOCK_OUT, CPLLLOCKDETCLK => CPLLLOCKDETCLK_IN, CPLLLOCKEN => tied_to_vcc_i, CPLLPD => tied_to_ground_i, CPLLREFCLKLOST => CPLLREFCLKLOST_OUT, CPLLREFCLKSEL => "001", CPLLRESET => CPLLRESET_IN, ------------------------------- Eye Scan Ports ----------------------------- EYESCANDATAERROR => EYESCANDATAERROR_OUT, EYESCANMODE => tied_to_ground_i, EYESCANRESET => tied_to_ground_i, EYESCANTRIGGER => tied_to_ground_i, ------------------------ Loopback and Powerdown Ports ---------------------- LOOPBACK => LOOPBACK_IN, RXPD => RXPD_IN, TXPD => TXPD_IN, ----------------------------- PCS Reserved Ports --------------------------- PCSRSVDIN => "0000000000000000", PCSRSVDIN2 => "00000", PCSRSVDOUT => open, ----------------------------- PMA Reserved Ports --------------------------- PMARSVDIN => "00000", ------------------------------- Receive Ports ------------------------------ CLKRSVD0 => tied_to_ground_i, CLKRSVD1 => tied_to_ground_i, DMONFIFORESET => tied_to_ground_i, DMONITORCLK => tied_to_ground_i, RXPMARESETDONE => open, RXQPIEN => tied_to_ground_i, RXQPISENN => open, RXQPISENP => open, RXRATEMODE => tied_to_ground_i, RXSYSCLKSEL => "00", RXUSERRDY => RXUSERRDY_IN, SIGVALIDCLK => tied_to_ground_i, TXPMARESETDONE => open, -------------- Receive Ports - 64b66b and 64b67b Gearbox Ports ------------- RXDATAVALID => open, RXGEARBOXSLIP => tied_to_ground_i, RXHEADER => open, RXHEADERVALID => open, RXSTARTOFSEQ => open, ----------------------- Receive Ports - 8b10b Decoder ---------------------- RX8B10BEN => tied_to_vcc_i, RXCHARISCOMMA(7 downto 2) => rxchariscomma_float_i, RXCHARISCOMMA(1 downto 0) => RXCHARISCOMMA_OUT, RXCHARISK(7 downto 2) => rxcharisk_float_i, RXCHARISK(1 downto 0) => RXCHARISK_OUT, RXDISPERR(7 downto 2) => rxdisperr_float_i, RXDISPERR(1 downto 0) => RXDISPERR_OUT, RXNOTINTABLE(7 downto 2) => rxnotintable_float_i, RXNOTINTABLE(1 downto 0) => RXNOTINTABLE_OUT, ------------------- Receive Ports - Channel Bonding Ports ------------------ RXCHANBONDSEQ => open, RXCHBONDEN => tied_to_ground_i, RXCHBONDI => "00000", RXCHBONDLEVEL => tied_to_ground_vec_i(2 downto 0), RXCHBONDMASTER => tied_to_ground_i, RXCHBONDO => open, RXCHBONDSLAVE => tied_to_ground_i, ------------------- Receive Ports - Channel Bonding Ports ----------------- RXCHANISALIGNED => open, RXCHANREALIGN => open, ------------------- Receive Ports - Clock Correction Ports ----------------- RXCLKCORCNT => RXCLKCORCNT_OUT, --------------- Receive Ports - Comma Detection and Alignment -------------- RXBYTEISALIGNED => open, RXBYTEREALIGN => open, RXCOMMADET => open, RXCOMMADETEN => tied_to_vcc_i, RXMCOMMAALIGNEN => RXMCOMMAALIGNEN_IN, RXPCOMMAALIGNEN => RXPCOMMAALIGNEN_IN, RXSLIDE => tied_to_ground_i, ----------------------- Receive Ports - PRBS Detection --------------------- RXPRBSCNTRESET => tied_to_ground_i, RXPRBSERR => open, RXPRBSSEL => tied_to_ground_vec_i(2 downto 0), ------------------- Receive Ports - RX Data Path interface ----------------- GTRXRESET => GTRXRESET_IN, RXDATA => rxdata_i, RXOUTCLK => RXOUTCLK_OUT, RXOUTCLKFABRIC => open, RXOUTCLKPCS => open, RXOUTCLKSEL => "010", RXPCSRESET => RXPCSRESET_IN, RXPMARESET => tied_to_ground_i, RXUSRCLK => RXUSRCLK_IN, RXUSRCLK2 => RXUSRCLK2_IN, ------------ Receive Ports - RX Decision Feedback Equalizer(DFE) ----------- DMONITOROUT => open, RSOSINTDONE => open, RXADAPTSELTEST => tied_to_ground_vec_i(13 downto 0), RXDFEAGCHOLD => RXDFEAGCHOLD_IN, RXDFEAGCOVRDEN => tied_to_ground_i, RXDFEAGCTRL => "10000", RXDFECM1EN => tied_to_ground_i, RXDFELFHOLD => tied_to_ground_i, RXDFELFOVRDEN => tied_to_ground_i, RXDFELPMRESET => tied_to_ground_i, RXDFESLIDETAP => tied_to_ground_vec_i(4 downto 0), RXDFESLIDETAPADAPTEN => tied_to_ground_i, RXDFESLIDETAPHOLD => tied_to_ground_i, RXDFESLIDETAPID => tied_to_ground_vec_i(5 downto 0), RXDFESLIDETAPINITOVRDEN => tied_to_ground_i, RXDFESLIDETAPONLYADAPTEN => tied_to_ground_i, RXDFESLIDETAPOVRDEN => tied_to_ground_i, RXDFESLIDETAPSTARTED => open, RXDFESLIDETAPSTROBE => tied_to_ground_i, RXDFESLIDETAPSTROBEDONE => open, RXDFESLIDETAPSTROBESTARTED => open, RXDFESTADAPTDONE => open, RXDFETAP2HOLD => tied_to_ground_i, RXDFETAP2OVRDEN => tied_to_ground_i, RXDFETAP3HOLD => tied_to_ground_i, RXDFETAP3OVRDEN => tied_to_ground_i, RXDFETAP4HOLD => tied_to_ground_i, RXDFETAP4OVRDEN => tied_to_ground_i, RXDFETAP5HOLD => tied_to_ground_i, RXDFETAP5OVRDEN => tied_to_ground_i, RXDFETAP6HOLD => tied_to_ground_i, RXDFETAP6OVRDEN => tied_to_ground_i, RXDFETAP7HOLD => tied_to_ground_i, RXDFETAP7OVRDEN => tied_to_ground_i, RXDFEUTHOLD => tied_to_ground_i, RXDFEUTOVRDEN => tied_to_ground_i, RXDFEVPHOLD => tied_to_ground_i, RXDFEVPOVRDEN => tied_to_ground_i, RXDFEVSEN => tied_to_ground_i, RXDFEXYDEN => tied_to_vcc_i, RXMONITOROUT => RXMONITOROUT_OUT, RXMONITORSEL => RXMONITORSEL_IN, RXOSCALRESET => tied_to_ground_i, RXOSHOLD => tied_to_ground_i, RXOSINTCFG => "0110", RXOSINTEN => tied_to_vcc_i, RXOSINTHOLD => tied_to_ground_i, RXOSINTID0 => tied_to_ground_vec_i(3 downto 0), RXOSINTNTRLEN => tied_to_ground_i, RXOSINTOVRDEN => tied_to_ground_i, RXOSINTSTARTED => open, RXOSINTSTROBE => tied_to_ground_i, RXOSINTSTROBEDONE => open, RXOSINTSTROBESTARTED => open, RXOSINTTESTOVRDEN => tied_to_ground_i, RXOSOVRDEN => tied_to_ground_i, ------- Receive Ports - RX Driver,OOB signalling,Coupling and Eq.,CDR ------ GTHRXN => GTHRXN_IN, GTHRXP => GTHRXP_IN, RXCDRFREQRESET => tied_to_ground_i, RXCDRHOLD => tied_to_ground_i, RXCDRLOCK => RXCDRLOCK_OUT, RXCDROVRDEN => tied_to_ground_i, RXCDRRESET => tied_to_ground_i, RXCDRRESETRSV => tied_to_ground_i, RXELECIDLE => RXELECIDLE_OUT, RXELECIDLEMODE => "11", RXLPMHFHOLD => tied_to_ground_i, RXLPMHFOVRDEN => tied_to_ground_i, RXLPMLFHOLD => tied_to_ground_i, RXLPMLFKLOVRDEN => tied_to_ground_i, RXOOBRESET => tied_to_ground_i, -------- Receive Ports - RX Elastic Buffer and Phase Alignment Ports ------- RXBUFRESET => RXBUFRESET_IN, RXBUFSTATUS => RXBUFSTATUS_OUT, RXDDIEN => tied_to_ground_i, RXDLYBYPASS => tied_to_vcc_i, RXDLYEN => tied_to_ground_i, RXDLYOVRDEN => tied_to_ground_i, RXDLYSRESET => tied_to_ground_i, RXDLYSRESETDONE => open, RXPHALIGN => tied_to_ground_i, RXPHALIGNDONE => open, RXPHALIGNEN => tied_to_ground_i, RXPHDLYPD => tied_to_ground_i, RXPHDLYRESET => tied_to_ground_i, RXPHMONITOR => open, RXPHOVRDEN => tied_to_ground_i, RXPHSLIPMONITOR => open, RXSTATUS => open, RXSYNCALLIN => tied_to_ground_i, RXSYNCDONE => open, RXSYNCIN => tied_to_ground_i, RXSYNCMODE => tied_to_ground_i, RXSYNCOUT => open, ------------------------ Receive Ports - RX Equalizer ---------------------- RXLPMEN => tied_to_ground_i, ------------------------ Receive Ports - RX PLL Ports ---------------------- RXRATE => tied_to_ground_vec_i(2 downto 0), RXRATEDONE => open, RXRESETDONE => RXRESETDONE_OUT, -------------- Receive Ports - RX Pipe Control for PCI Express ------------- PHYSTATUS => open, RXVALID => open, ----------------- Receive Ports - RX Polarity Control Ports ---------------- RXPOLARITY => tied_to_ground_i, --------------------- Receive Ports - RX Ports for SATA -------------------- RXCOMINITDET => open, RXCOMSASDET => open, RXCOMWAKEDET => open, ------------------------------- Transmit Ports ----------------------------- SETERRSTATUS => tied_to_ground_i, TSTIN => "11111111111111111111", TXPHDLYTSTCLK => tied_to_ground_i, TXPIPPMEN => tied_to_ground_i, TXPIPPMOVRDEN => tied_to_ground_i, TXPIPPMPD => tied_to_ground_i, TXPIPPMSEL => tied_to_ground_i, TXPIPPMSTEPSIZE => tied_to_ground_vec_i(4 downto 0), TXPOSTCURSOR => "00000", TXPOSTCURSORINV => tied_to_ground_i, TXPRECURSOR => tied_to_ground_vec_i(4 downto 0), TXPRECURSORINV => tied_to_ground_i, TXQPIBIASEN => tied_to_ground_i, TXQPISENN => open, TXQPISENP => open, TXQPISTRONGPDOWN => tied_to_ground_i, TXQPIWEAKPUP => tied_to_ground_i, TXRATEMODE => tied_to_ground_i, TXSYSCLKSEL => "00", TXUSERRDY => TXUSERRDY_IN, -------------- Transmit Ports - 64b66b and 64b67b Gearbox Ports ------------ TXGEARBOXREADY => open, TXHEADER => tied_to_ground_vec_i(2 downto 0), TXSEQUENCE => tied_to_ground_vec_i(6 downto 0), TXSTARTSEQ => tied_to_ground_i, ---------------- Transmit Ports - 8b10b Encoder Control Ports -------------- TX8B10BBYPASS => tied_to_ground_vec_i(7 downto 0), TX8B10BEN => tied_to_vcc_i, TXCHARDISPMODE(7 downto 2) => tied_to_ground_vec_i(5 downto 0), TXCHARDISPMODE(1 downto 0) => TXCHARDISPMODE_IN, TXCHARDISPVAL(7 downto 2) => tied_to_ground_vec_i(5 downto 0), TXCHARDISPVAL(1 downto 0) => TXCHARDISPVAL_IN, TXCHARISK(7 downto 2) => tied_to_ground_vec_i(5 downto 0), TXCHARISK(1 downto 0) => TXCHARISK_IN, ------------ Transmit Ports - TX Buffer and Phase Alignment Ports ---------- TXBUFSTATUS => TXBUFSTATUS_OUT, TXDLYBYPASS => tied_to_vcc_i, TXDLYEN => tied_to_ground_i, TXDLYHOLD => tied_to_ground_i, TXDLYOVRDEN => tied_to_ground_i, TXDLYSRESET => tied_to_ground_i, TXDLYSRESETDONE => open, TXDLYUPDOWN => tied_to_ground_i, TXPHALIGN => tied_to_ground_i, TXPHALIGNDONE => open, TXPHALIGNEN => tied_to_ground_i, TXPHDLYPD => tied_to_ground_i, TXPHDLYRESET => tied_to_ground_i, TXPHINIT => tied_to_ground_i, TXPHINITDONE => open, TXPHOVRDEN => tied_to_ground_i, TXSYNCALLIN => tied_to_ground_i, TXSYNCDONE => open, TXSYNCIN => tied_to_ground_i, TXSYNCMODE => tied_to_ground_i, TXSYNCOUT => open, ------------------ Transmit Ports - TX Data Path interface ----------------- GTTXRESET => GTTXRESET_IN, TXDATA => txdata_i, TXOUTCLK => TXOUTCLK_OUT, TXOUTCLKFABRIC => TXOUTCLKFABRIC_OUT, TXOUTCLKPCS => TXOUTCLKPCS_OUT, TXOUTCLKSEL => "100", TXPCSRESET => TXPCSRESET_IN, TXPMARESET => tied_to_ground_i, TXUSRCLK => TXUSRCLK_IN, TXUSRCLK2 => TXUSRCLK2_IN, ---------------- Transmit Ports - TX Driver and OOB signaling -------------- GTHTXN => GTHTXN_OUT, GTHTXP => GTHTXP_OUT, TXBUFDIFFCTRL => "100", TXDIFFCTRL => "1000", TXDIFFPD => tied_to_ground_i, TXINHIBIT => tied_to_ground_i, TXMAINCURSOR => "0000000", TXPDELECIDLEMODE => tied_to_ground_i, TXPISOPD => tied_to_ground_i, ----------------------- Transmit Ports - TX PLL Ports ---------------------- TXRATE => tied_to_ground_vec_i(2 downto 0), TXRATEDONE => open, TXRESETDONE => TXRESETDONE_OUT, --------------------- Transmit Ports - TX PRBS Generator ------------------- TXPRBSFORCEERR => tied_to_ground_i, TXPRBSSEL => tied_to_ground_vec_i(2 downto 0), -------------------- Transmit Ports - TX Polarity Control ------------------ TXPOLARITY => tied_to_ground_i, ----------------- Transmit Ports - TX Ports for PCI Express ---------------- TXDEEMPH => tied_to_ground_i, TXDETECTRX => tied_to_ground_i, TXELECIDLE => tied_to_ground_i, TXMARGIN => tied_to_ground_vec_i(2 downto 0), TXSWING => tied_to_ground_i, --------------------- Transmit Ports - TX Ports for SATA ------------------- TXCOMFINISH => open, TXCOMINIT => tied_to_ground_i, TXCOMSAS => tied_to_ground_i, TXCOMWAKE => tied_to_ground_i ); end RTL;