#----------------------------------------------------------- # Vivado v2014.4.1 (64-bit) # SW Build 1149489 on Thu Feb 19 16:20:35 MST 2015 # IP Build 1147552 on Wed Feb 18 14:25:16 MST 2015 # Start of session at: Wed Apr 22 08:55:26 2015 # Process ID: 3600 # Log file: C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2.xpr/a7_mmfe_xadc_udp_v2/vivado.log # Journal file: C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2.xpr/a7_mmfe_xadc_udp_v2\vivado.jou #----------------------------------------------------------- start_gui open_project C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.xpr INFO: [Project 1-313] Project file moved from 'C:/Users/adowd/AppData/Roaming/Xilinx/Vivado/.Xil/Vivado-6800-lithe-ad-work/PrjAr/_X_' since last save. Scanning sources... Finished scanning sources INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2014.4/data/ip'. open_project: Time (s): cpu = 00:00:21 ; elapsed = 00:00:11 . Memory (MB): peak = 787.938 ; gain = 211.660 reset_run synth_1 launch_runs impl_1 -to_step write_bitstream [Wed Apr 22 09:04:15 2015] Launched ila_0_synth_1, clk_wiz_0_synth_1, synth_1... Run output will be captured here: ila_0_synth_1: C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/ila_0_synth_1/runme.log clk_wiz_0_synth_1: C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/clk_wiz_0_synth_1/runme.log synth_1: C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/runme.log [Wed Apr 22 09:04:15 2015] Launched impl_1... Run output will be captured here: C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/impl_1/runme.log launch_sdk -workspace C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.sdk -hwspec C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.sdk/toplevel.hdf INFO: [Vivado 12-393] Launching SDK... INFO: [Vivado 12-417] Running xsdk -workspace C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.sdk -hwspec C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.sdk/toplevel.hdf INFO: [Vivado 12-3157] SDK launch initiated. Please check console for any further messages. exit INFO: [Common 17-206] Exiting Vivado at Wed Apr 22 11:02:54 2015...