#----------------------------------------------------------- # Vivado v2014.4.1 (64-bit) # SW Build 1149489 on Thu Feb 19 16:20:35 MST 2015 # IP Build 1147552 on Wed Feb 18 14:25:16 MST 2015 # Start of session at: Wed Apr 22 11:05:10 2015 # Process ID: 6680 # Log file: C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/vivado.log # Journal file: C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2\vivado.jou #----------------------------------------------------------- start_gui open_project C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.xpr INFO: [Project 1-313] Project file moved from 'C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2.xpr/a7_mmfe_xadc_udp_v2' since last save. Scanning sources... Finished scanning sources INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2014.4/data/ip'. open_project: Time (s): cpu = 00:00:48 ; elapsed = 00:00:19 . Memory (MB): peak = 825.484 ; gain = 259.797 reset_run synth_1 launch_runs impl_1 -to_step write_bitstream [Wed Apr 22 11:56:25 2015] Launched synth_1... Run output will be captured here: C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/runme.log [Wed Apr 22 11:56:25 2015] Launched impl_1... Run output will be captured here: C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/impl_1/runme.log launch_sdk -workspace C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.sdk -hwspec C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.sdk/toplevel.hdf INFO: [Vivado 12-393] Launching SDK... INFO: [Vivado 12-417] Running xsdk -workspace C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.sdk -hwspec C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.sdk/toplevel.hdf INFO: [Vivado 12-3157] SDK launch initiated. Please check console for any further messages. exit INFO: [Common 17-206] Exiting Vivado at Wed Apr 22 12:28:27 2015...