---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 04/03/2015 12:59:53 PM -- Design Name: -- Module Name: my_led - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity my_led is Port ( led_1 : out std_logic; led_2 : out std_logic ); end my_led; architecture Behavioral of my_led is begin led_1 <= '0'; led_2 <= '1'; end Behavioral;