//Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- //Tool Version: Vivado v.2014.4.1 (win64) Build 1149489 Thu Feb 19 16:23:09 MST 2015 //Date : Mon Apr 20 10:37:10 2015 //Host : lithe-ad-work running 64-bit Service Pack 1 (build 7601) //Command : generate_target mbsys.bd //Design : mbsys //Purpose : IP block netlist //-------------------------------------------------------------------------------- `timescale 1 ps / 1 ps module m00_couplers_imp_1XFH7XH (M_ACLK, M_ARESETN, M_AXI_araddr, M_AXI_arready, M_AXI_arvalid, M_AXI_awaddr, M_AXI_awready, M_AXI_awvalid, M_AXI_bready, M_AXI_bresp, M_AXI_bvalid, M_AXI_rdata, M_AXI_rready, M_AXI_rresp, M_AXI_rvalid, M_AXI_wdata, M_AXI_wready, M_AXI_wstrb, M_AXI_wvalid, S_ACLK, S_ARESETN, S_AXI_araddr, S_AXI_arready, S_AXI_arvalid, S_AXI_awaddr, S_AXI_awready, S_AXI_awvalid, S_AXI_bready, S_AXI_bresp, S_AXI_bvalid, S_AXI_rdata, S_AXI_rready, S_AXI_rresp, S_AXI_rvalid, S_AXI_wdata, S_AXI_wready, S_AXI_wstrb, S_AXI_wvalid); input M_ACLK; input [0:0]M_ARESETN; output [8:0]M_AXI_araddr; input M_AXI_arready; output M_AXI_arvalid; output [8:0]M_AXI_awaddr; input M_AXI_awready; output M_AXI_awvalid; output M_AXI_bready; input [1:0]M_AXI_bresp; input M_AXI_bvalid; input [31:0]M_AXI_rdata; output M_AXI_rready; input [1:0]M_AXI_rresp; input M_AXI_rvalid; output [31:0]M_AXI_wdata; input M_AXI_wready; output [3:0]M_AXI_wstrb; output M_AXI_wvalid; input S_ACLK; input [0:0]S_ARESETN; input [8:0]S_AXI_araddr; output S_AXI_arready; input S_AXI_arvalid; input [8:0]S_AXI_awaddr; output S_AXI_awready; input S_AXI_awvalid; input S_AXI_bready; output [1:0]S_AXI_bresp; output S_AXI_bvalid; output [31:0]S_AXI_rdata; input S_AXI_rready; output [1:0]S_AXI_rresp; output S_AXI_rvalid; input [31:0]S_AXI_wdata; output S_AXI_wready; input [3:0]S_AXI_wstrb; input S_AXI_wvalid; wire [8:0]m00_couplers_to_m00_couplers_ARADDR; wire m00_couplers_to_m00_couplers_ARREADY; wire m00_couplers_to_m00_couplers_ARVALID; wire [8:0]m00_couplers_to_m00_couplers_AWADDR; wire m00_couplers_to_m00_couplers_AWREADY; wire m00_couplers_to_m00_couplers_AWVALID; wire m00_couplers_to_m00_couplers_BREADY; wire [1:0]m00_couplers_to_m00_couplers_BRESP; wire m00_couplers_to_m00_couplers_BVALID; wire [31:0]m00_couplers_to_m00_couplers_RDATA; wire m00_couplers_to_m00_couplers_RREADY; wire [1:0]m00_couplers_to_m00_couplers_RRESP; wire m00_couplers_to_m00_couplers_RVALID; wire [31:0]m00_couplers_to_m00_couplers_WDATA; wire m00_couplers_to_m00_couplers_WREADY; wire [3:0]m00_couplers_to_m00_couplers_WSTRB; wire m00_couplers_to_m00_couplers_WVALID; assign M_AXI_araddr[8:0] = m00_couplers_to_m00_couplers_ARADDR; assign M_AXI_arvalid = m00_couplers_to_m00_couplers_ARVALID; assign M_AXI_awaddr[8:0] = m00_couplers_to_m00_couplers_AWADDR; assign M_AXI_awvalid = m00_couplers_to_m00_couplers_AWVALID; assign M_AXI_bready = m00_couplers_to_m00_couplers_BREADY; assign M_AXI_rready = m00_couplers_to_m00_couplers_RREADY; assign M_AXI_wdata[31:0] = m00_couplers_to_m00_couplers_WDATA; assign M_AXI_wstrb[3:0] = m00_couplers_to_m00_couplers_WSTRB; assign M_AXI_wvalid = m00_couplers_to_m00_couplers_WVALID; assign S_AXI_arready = m00_couplers_to_m00_couplers_ARREADY; assign S_AXI_awready = m00_couplers_to_m00_couplers_AWREADY; assign S_AXI_bresp[1:0] = m00_couplers_to_m00_couplers_BRESP; assign S_AXI_bvalid = m00_couplers_to_m00_couplers_BVALID; assign S_AXI_rdata[31:0] = m00_couplers_to_m00_couplers_RDATA; assign S_AXI_rresp[1:0] = m00_couplers_to_m00_couplers_RRESP; assign S_AXI_rvalid = m00_couplers_to_m00_couplers_RVALID; assign S_AXI_wready = m00_couplers_to_m00_couplers_WREADY; assign m00_couplers_to_m00_couplers_ARADDR = S_AXI_araddr[8:0]; assign m00_couplers_to_m00_couplers_ARREADY = M_AXI_arready; assign m00_couplers_to_m00_couplers_ARVALID = S_AXI_arvalid; assign m00_couplers_to_m00_couplers_AWADDR = S_AXI_awaddr[8:0]; assign m00_couplers_to_m00_couplers_AWREADY = M_AXI_awready; assign m00_couplers_to_m00_couplers_AWVALID = S_AXI_awvalid; assign m00_couplers_to_m00_couplers_BREADY = S_AXI_bready; assign m00_couplers_to_m00_couplers_BRESP = M_AXI_bresp[1:0]; assign m00_couplers_to_m00_couplers_BVALID = M_AXI_bvalid; assign m00_couplers_to_m00_couplers_RDATA = M_AXI_rdata[31:0]; assign m00_couplers_to_m00_couplers_RREADY = S_AXI_rready; assign m00_couplers_to_m00_couplers_RRESP = M_AXI_rresp[1:0]; assign m00_couplers_to_m00_couplers_RVALID = M_AXI_rvalid; assign m00_couplers_to_m00_couplers_WDATA = S_AXI_wdata[31:0]; assign m00_couplers_to_m00_couplers_WREADY = M_AXI_wready; assign m00_couplers_to_m00_couplers_WSTRB = S_AXI_wstrb[3:0]; assign m00_couplers_to_m00_couplers_WVALID = S_AXI_wvalid; endmodule module m01_couplers_imp_ISS8LP (M_ACLK, M_ARESETN, M_AXI_araddr, M_AXI_arready, M_AXI_arvalid, M_AXI_awaddr, M_AXI_awready, M_AXI_awvalid, M_AXI_bready, M_AXI_bresp, M_AXI_bvalid, M_AXI_rdata, M_AXI_rready, M_AXI_rresp, M_AXI_rvalid, M_AXI_wdata, M_AXI_wready, M_AXI_wstrb, M_AXI_wvalid, S_ACLK, S_ARESETN, S_AXI_araddr, S_AXI_arready, S_AXI_arvalid, S_AXI_awaddr, S_AXI_awready, S_AXI_awvalid, S_AXI_bready, S_AXI_bresp, S_AXI_bvalid, S_AXI_rdata, S_AXI_rready, S_AXI_rresp, S_AXI_rvalid, S_AXI_wdata, S_AXI_wready, S_AXI_wstrb, S_AXI_wvalid); input M_ACLK; input [0:0]M_ARESETN; output [4:0]M_AXI_araddr; input M_AXI_arready; output M_AXI_arvalid; output [4:0]M_AXI_awaddr; input M_AXI_awready; output M_AXI_awvalid; output M_AXI_bready; input [1:0]M_AXI_bresp; input M_AXI_bvalid; input [31:0]M_AXI_rdata; output M_AXI_rready; input [1:0]M_AXI_rresp; input M_AXI_rvalid; output [31:0]M_AXI_wdata; input M_AXI_wready; output [3:0]M_AXI_wstrb; output M_AXI_wvalid; input S_ACLK; input [0:0]S_ARESETN; input [4:0]S_AXI_araddr; output S_AXI_arready; input S_AXI_arvalid; input [4:0]S_AXI_awaddr; output S_AXI_awready; input S_AXI_awvalid; input S_AXI_bready; output [1:0]S_AXI_bresp; output S_AXI_bvalid; output [31:0]S_AXI_rdata; input S_AXI_rready; output [1:0]S_AXI_rresp; output S_AXI_rvalid; input [31:0]S_AXI_wdata; output S_AXI_wready; input [3:0]S_AXI_wstrb; input S_AXI_wvalid; wire [4:0]m01_couplers_to_m01_couplers_ARADDR; wire m01_couplers_to_m01_couplers_ARREADY; wire m01_couplers_to_m01_couplers_ARVALID; wire [4:0]m01_couplers_to_m01_couplers_AWADDR; wire m01_couplers_to_m01_couplers_AWREADY; wire m01_couplers_to_m01_couplers_AWVALID; wire m01_couplers_to_m01_couplers_BREADY; wire [1:0]m01_couplers_to_m01_couplers_BRESP; wire m01_couplers_to_m01_couplers_BVALID; wire [31:0]m01_couplers_to_m01_couplers_RDATA; wire m01_couplers_to_m01_couplers_RREADY; wire [1:0]m01_couplers_to_m01_couplers_RRESP; wire m01_couplers_to_m01_couplers_RVALID; wire [31:0]m01_couplers_to_m01_couplers_WDATA; wire m01_couplers_to_m01_couplers_WREADY; wire [3:0]m01_couplers_to_m01_couplers_WSTRB; wire m01_couplers_to_m01_couplers_WVALID; assign M_AXI_araddr[4:0] = m01_couplers_to_m01_couplers_ARADDR; assign M_AXI_arvalid = m01_couplers_to_m01_couplers_ARVALID; assign M_AXI_awaddr[4:0] = m01_couplers_to_m01_couplers_AWADDR; assign M_AXI_awvalid = m01_couplers_to_m01_couplers_AWVALID; assign M_AXI_bready = m01_couplers_to_m01_couplers_BREADY; assign M_AXI_rready = m01_couplers_to_m01_couplers_RREADY; assign M_AXI_wdata[31:0] = m01_couplers_to_m01_couplers_WDATA; assign M_AXI_wstrb[3:0] = m01_couplers_to_m01_couplers_WSTRB; assign M_AXI_wvalid = m01_couplers_to_m01_couplers_WVALID; assign S_AXI_arready = m01_couplers_to_m01_couplers_ARREADY; assign S_AXI_awready = m01_couplers_to_m01_couplers_AWREADY; assign S_AXI_bresp[1:0] = m01_couplers_to_m01_couplers_BRESP; assign S_AXI_bvalid = m01_couplers_to_m01_couplers_BVALID; assign S_AXI_rdata[31:0] = m01_couplers_to_m01_couplers_RDATA; assign S_AXI_rresp[1:0] = m01_couplers_to_m01_couplers_RRESP; assign S_AXI_rvalid = m01_couplers_to_m01_couplers_RVALID; assign S_AXI_wready = m01_couplers_to_m01_couplers_WREADY; assign m01_couplers_to_m01_couplers_ARADDR = S_AXI_araddr[4:0]; assign m01_couplers_to_m01_couplers_ARREADY = M_AXI_arready; assign m01_couplers_to_m01_couplers_ARVALID = S_AXI_arvalid; assign m01_couplers_to_m01_couplers_AWADDR = S_AXI_awaddr[4:0]; assign m01_couplers_to_m01_couplers_AWREADY = M_AXI_awready; assign m01_couplers_to_m01_couplers_AWVALID = S_AXI_awvalid; assign m01_couplers_to_m01_couplers_BREADY = S_AXI_bready; assign m01_couplers_to_m01_couplers_BRESP = M_AXI_bresp[1:0]; assign m01_couplers_to_m01_couplers_BVALID = M_AXI_bvalid; assign m01_couplers_to_m01_couplers_RDATA = M_AXI_rdata[31:0]; assign m01_couplers_to_m01_couplers_RREADY = S_AXI_rready; assign m01_couplers_to_m01_couplers_RRESP = M_AXI_rresp[1:0]; assign m01_couplers_to_m01_couplers_RVALID = M_AXI_rvalid; assign m01_couplers_to_m01_couplers_WDATA = S_AXI_wdata[31:0]; assign m01_couplers_to_m01_couplers_WREADY = M_AXI_wready; assign m01_couplers_to_m01_couplers_WSTRB = S_AXI_wstrb[3:0]; assign m01_couplers_to_m01_couplers_WVALID = S_AXI_wvalid; endmodule module m02_couplers_imp_MYF8XG (M_ACLK, M_ARESETN, M_AXI_araddr, M_AXI_arready, M_AXI_arvalid, M_AXI_awaddr, M_AXI_awready, M_AXI_awvalid, M_AXI_bready, M_AXI_bresp, M_AXI_bvalid, M_AXI_rdata, M_AXI_rready, M_AXI_rresp, M_AXI_rvalid, M_AXI_wdata, M_AXI_wready, M_AXI_wstrb, M_AXI_wvalid, S_ACLK, S_ARESETN, S_AXI_araddr, S_AXI_arready, S_AXI_arvalid, S_AXI_awaddr, S_AXI_awready, S_AXI_awvalid, S_AXI_bready, S_AXI_bresp, S_AXI_bvalid, S_AXI_rdata, S_AXI_rready, S_AXI_rresp, S_AXI_rvalid, S_AXI_wdata, S_AXI_wready, S_AXI_wstrb, S_AXI_wvalid); input M_ACLK; input [0:0]M_ARESETN; output [17:0]M_AXI_araddr; input M_AXI_arready; output M_AXI_arvalid; output [17:0]M_AXI_awaddr; input M_AXI_awready; output M_AXI_awvalid; output M_AXI_bready; input [1:0]M_AXI_bresp; input M_AXI_bvalid; input [31:0]M_AXI_rdata; output M_AXI_rready; input [1:0]M_AXI_rresp; input M_AXI_rvalid; output [31:0]M_AXI_wdata; input M_AXI_wready; output [3:0]M_AXI_wstrb; output M_AXI_wvalid; input S_ACLK; input [0:0]S_ARESETN; input [17:0]S_AXI_araddr; output S_AXI_arready; input S_AXI_arvalid; input [17:0]S_AXI_awaddr; output S_AXI_awready; input S_AXI_awvalid; input S_AXI_bready; output [1:0]S_AXI_bresp; output S_AXI_bvalid; output [31:0]S_AXI_rdata; input S_AXI_rready; output [1:0]S_AXI_rresp; output S_AXI_rvalid; input [31:0]S_AXI_wdata; output S_AXI_wready; input [3:0]S_AXI_wstrb; input S_AXI_wvalid; wire [17:0]m02_couplers_to_m02_couplers_ARADDR; wire m02_couplers_to_m02_couplers_ARREADY; wire m02_couplers_to_m02_couplers_ARVALID; wire [17:0]m02_couplers_to_m02_couplers_AWADDR; wire m02_couplers_to_m02_couplers_AWREADY; wire m02_couplers_to_m02_couplers_AWVALID; wire m02_couplers_to_m02_couplers_BREADY; wire [1:0]m02_couplers_to_m02_couplers_BRESP; wire m02_couplers_to_m02_couplers_BVALID; wire [31:0]m02_couplers_to_m02_couplers_RDATA; wire m02_couplers_to_m02_couplers_RREADY; wire [1:0]m02_couplers_to_m02_couplers_RRESP; wire m02_couplers_to_m02_couplers_RVALID; wire [31:0]m02_couplers_to_m02_couplers_WDATA; wire m02_couplers_to_m02_couplers_WREADY; wire [3:0]m02_couplers_to_m02_couplers_WSTRB; wire m02_couplers_to_m02_couplers_WVALID; assign M_AXI_araddr[17:0] = m02_couplers_to_m02_couplers_ARADDR; assign M_AXI_arvalid = m02_couplers_to_m02_couplers_ARVALID; assign M_AXI_awaddr[17:0] = m02_couplers_to_m02_couplers_AWADDR; assign M_AXI_awvalid = m02_couplers_to_m02_couplers_AWVALID; assign M_AXI_bready = m02_couplers_to_m02_couplers_BREADY; assign M_AXI_rready = m02_couplers_to_m02_couplers_RREADY; assign M_AXI_wdata[31:0] = m02_couplers_to_m02_couplers_WDATA; assign M_AXI_wstrb[3:0] = m02_couplers_to_m02_couplers_WSTRB; assign M_AXI_wvalid = m02_couplers_to_m02_couplers_WVALID; assign S_AXI_arready = m02_couplers_to_m02_couplers_ARREADY; assign S_AXI_awready = m02_couplers_to_m02_couplers_AWREADY; assign S_AXI_bresp[1:0] = m02_couplers_to_m02_couplers_BRESP; assign S_AXI_bvalid = m02_couplers_to_m02_couplers_BVALID; assign S_AXI_rdata[31:0] = m02_couplers_to_m02_couplers_RDATA; assign S_AXI_rresp[1:0] = m02_couplers_to_m02_couplers_RRESP; assign S_AXI_rvalid = m02_couplers_to_m02_couplers_RVALID; assign S_AXI_wready = m02_couplers_to_m02_couplers_WREADY; assign m02_couplers_to_m02_couplers_ARADDR = S_AXI_araddr[17:0]; assign m02_couplers_to_m02_couplers_ARREADY = M_AXI_arready; assign m02_couplers_to_m02_couplers_ARVALID = S_AXI_arvalid; assign m02_couplers_to_m02_couplers_AWADDR = S_AXI_awaddr[17:0]; assign m02_couplers_to_m02_couplers_AWREADY = M_AXI_awready; assign m02_couplers_to_m02_couplers_AWVALID = S_AXI_awvalid; assign m02_couplers_to_m02_couplers_BREADY = S_AXI_bready; assign m02_couplers_to_m02_couplers_BRESP = M_AXI_bresp[1:0]; assign m02_couplers_to_m02_couplers_BVALID = M_AXI_bvalid; assign m02_couplers_to_m02_couplers_RDATA = M_AXI_rdata[31:0]; assign m02_couplers_to_m02_couplers_RREADY = S_AXI_rready; assign m02_couplers_to_m02_couplers_RRESP = M_AXI_rresp[1:0]; assign m02_couplers_to_m02_couplers_RVALID = M_AXI_rvalid; assign m02_couplers_to_m02_couplers_WDATA = S_AXI_wdata[31:0]; assign m02_couplers_to_m02_couplers_WREADY = M_AXI_wready; assign m02_couplers_to_m02_couplers_WSTRB = S_AXI_wstrb[3:0]; assign m02_couplers_to_m02_couplers_WVALID = S_AXI_wvalid; endmodule module m03_couplers_imp_1TA53J0 (M_ACLK, M_ARESETN, M_AXI_araddr, M_AXI_arready, M_AXI_arvalid, M_AXI_awaddr, M_AXI_awready, M_AXI_awvalid, M_AXI_bready, M_AXI_bresp, M_AXI_bvalid, M_AXI_rdata, M_AXI_rready, M_AXI_rresp, M_AXI_rvalid, M_AXI_wdata, M_AXI_wready, M_AXI_wstrb, M_AXI_wvalid, S_ACLK, S_ARESETN, S_AXI_araddr, S_AXI_arready, S_AXI_arvalid, S_AXI_awaddr, S_AXI_awready, S_AXI_awvalid, S_AXI_bready, S_AXI_bresp, S_AXI_bvalid, S_AXI_rdata, S_AXI_rready, S_AXI_rresp, S_AXI_rvalid, S_AXI_wdata, S_AXI_wready, S_AXI_wstrb, S_AXI_wvalid); input M_ACLK; input [0:0]M_ARESETN; output [31:0]M_AXI_araddr; input M_AXI_arready; output M_AXI_arvalid; output [31:0]M_AXI_awaddr; input M_AXI_awready; output M_AXI_awvalid; output M_AXI_bready; input [1:0]M_AXI_bresp; input M_AXI_bvalid; input [31:0]M_AXI_rdata; output M_AXI_rready; input [1:0]M_AXI_rresp; input M_AXI_rvalid; output [31:0]M_AXI_wdata; input M_AXI_wready; output [3:0]M_AXI_wstrb; output M_AXI_wvalid; input S_ACLK; input [0:0]S_ARESETN; input [31:0]S_AXI_araddr; output S_AXI_arready; input S_AXI_arvalid; input [31:0]S_AXI_awaddr; output S_AXI_awready; input S_AXI_awvalid; input S_AXI_bready; output [1:0]S_AXI_bresp; output S_AXI_bvalid; output [31:0]S_AXI_rdata; input S_AXI_rready; output [1:0]S_AXI_rresp; output S_AXI_rvalid; input [31:0]S_AXI_wdata; output S_AXI_wready; input [3:0]S_AXI_wstrb; input S_AXI_wvalid; wire [31:0]m03_couplers_to_m03_couplers_ARADDR; wire m03_couplers_to_m03_couplers_ARREADY; wire m03_couplers_to_m03_couplers_ARVALID; wire [31:0]m03_couplers_to_m03_couplers_AWADDR; wire m03_couplers_to_m03_couplers_AWREADY; wire m03_couplers_to_m03_couplers_AWVALID; wire m03_couplers_to_m03_couplers_BREADY; wire [1:0]m03_couplers_to_m03_couplers_BRESP; wire m03_couplers_to_m03_couplers_BVALID; wire [31:0]m03_couplers_to_m03_couplers_RDATA; wire m03_couplers_to_m03_couplers_RREADY; wire [1:0]m03_couplers_to_m03_couplers_RRESP; wire m03_couplers_to_m03_couplers_RVALID; wire [31:0]m03_couplers_to_m03_couplers_WDATA; wire m03_couplers_to_m03_couplers_WREADY; wire [3:0]m03_couplers_to_m03_couplers_WSTRB; wire m03_couplers_to_m03_couplers_WVALID; assign M_AXI_araddr[31:0] = m03_couplers_to_m03_couplers_ARADDR; assign M_AXI_arvalid = m03_couplers_to_m03_couplers_ARVALID; assign M_AXI_awaddr[31:0] = m03_couplers_to_m03_couplers_AWADDR; assign M_AXI_awvalid = m03_couplers_to_m03_couplers_AWVALID; assign M_AXI_bready = m03_couplers_to_m03_couplers_BREADY; assign M_AXI_rready = m03_couplers_to_m03_couplers_RREADY; assign M_AXI_wdata[31:0] = m03_couplers_to_m03_couplers_WDATA; assign M_AXI_wstrb[3:0] = m03_couplers_to_m03_couplers_WSTRB; assign M_AXI_wvalid = m03_couplers_to_m03_couplers_WVALID; assign S_AXI_arready = m03_couplers_to_m03_couplers_ARREADY; assign S_AXI_awready = m03_couplers_to_m03_couplers_AWREADY; assign S_AXI_bresp[1:0] = m03_couplers_to_m03_couplers_BRESP; assign S_AXI_bvalid = m03_couplers_to_m03_couplers_BVALID; assign S_AXI_rdata[31:0] = m03_couplers_to_m03_couplers_RDATA; assign S_AXI_rresp[1:0] = m03_couplers_to_m03_couplers_RRESP; assign S_AXI_rvalid = m03_couplers_to_m03_couplers_RVALID; assign S_AXI_wready = m03_couplers_to_m03_couplers_WREADY; assign m03_couplers_to_m03_couplers_ARADDR = S_AXI_araddr[31:0]; assign m03_couplers_to_m03_couplers_ARREADY = M_AXI_arready; assign m03_couplers_to_m03_couplers_ARVALID = S_AXI_arvalid; assign m03_couplers_to_m03_couplers_AWADDR = S_AXI_awaddr[31:0]; assign m03_couplers_to_m03_couplers_AWREADY = M_AXI_awready; assign m03_couplers_to_m03_couplers_AWVALID = S_AXI_awvalid; assign m03_couplers_to_m03_couplers_BREADY = S_AXI_bready; assign m03_couplers_to_m03_couplers_BRESP = M_AXI_bresp[1:0]; assign m03_couplers_to_m03_couplers_BVALID = M_AXI_bvalid; assign m03_couplers_to_m03_couplers_RDATA = M_AXI_rdata[31:0]; assign m03_couplers_to_m03_couplers_RREADY = S_AXI_rready; assign m03_couplers_to_m03_couplers_RRESP = M_AXI_rresp[1:0]; assign m03_couplers_to_m03_couplers_RVALID = M_AXI_rvalid; assign m03_couplers_to_m03_couplers_WDATA = S_AXI_wdata[31:0]; assign m03_couplers_to_m03_couplers_WREADY = M_AXI_wready; assign m03_couplers_to_m03_couplers_WSTRB = S_AXI_wstrb[3:0]; assign m03_couplers_to_m03_couplers_WVALID = S_AXI_wvalid; endmodule module m04_couplers_imp_V5HJWM (M_ACLK, M_ARESETN, M_AXI_araddr, M_AXI_arready, M_AXI_arvalid, M_AXI_awaddr, M_AXI_awready, M_AXI_awvalid, M_AXI_bready, M_AXI_bresp, M_AXI_bvalid, M_AXI_rdata, M_AXI_rready, M_AXI_rresp, M_AXI_rvalid, M_AXI_wdata, M_AXI_wready, M_AXI_wstrb, M_AXI_wvalid, S_ACLK, S_ARESETN, S_AXI_araddr, S_AXI_arready, S_AXI_arvalid, S_AXI_awaddr, S_AXI_awready, S_AXI_awvalid, S_AXI_bready, S_AXI_bresp, S_AXI_bvalid, S_AXI_rdata, S_AXI_rready, S_AXI_rresp, S_AXI_rvalid, S_AXI_wdata, S_AXI_wready, S_AXI_wstrb, S_AXI_wvalid); input M_ACLK; input [0:0]M_ARESETN; output [8:0]M_AXI_araddr; input M_AXI_arready; output M_AXI_arvalid; output [8:0]M_AXI_awaddr; input M_AXI_awready; output M_AXI_awvalid; output M_AXI_bready; input [1:0]M_AXI_bresp; input M_AXI_bvalid; input [31:0]M_AXI_rdata; output M_AXI_rready; input [1:0]M_AXI_rresp; input M_AXI_rvalid; output [31:0]M_AXI_wdata; input M_AXI_wready; output [3:0]M_AXI_wstrb; output M_AXI_wvalid; input S_ACLK; input [0:0]S_ARESETN; input [8:0]S_AXI_araddr; output S_AXI_arready; input S_AXI_arvalid; input [8:0]S_AXI_awaddr; output S_AXI_awready; input S_AXI_awvalid; input S_AXI_bready; output [1:0]S_AXI_bresp; output S_AXI_bvalid; output [31:0]S_AXI_rdata; input S_AXI_rready; output [1:0]S_AXI_rresp; output S_AXI_rvalid; input [31:0]S_AXI_wdata; output S_AXI_wready; input [3:0]S_AXI_wstrb; input S_AXI_wvalid; wire [8:0]m04_couplers_to_m04_couplers_ARADDR; wire m04_couplers_to_m04_couplers_ARREADY; wire m04_couplers_to_m04_couplers_ARVALID; wire [8:0]m04_couplers_to_m04_couplers_AWADDR; wire m04_couplers_to_m04_couplers_AWREADY; wire m04_couplers_to_m04_couplers_AWVALID; wire m04_couplers_to_m04_couplers_BREADY; wire [1:0]m04_couplers_to_m04_couplers_BRESP; wire m04_couplers_to_m04_couplers_BVALID; wire [31:0]m04_couplers_to_m04_couplers_RDATA; wire m04_couplers_to_m04_couplers_RREADY; wire [1:0]m04_couplers_to_m04_couplers_RRESP; wire m04_couplers_to_m04_couplers_RVALID; wire [31:0]m04_couplers_to_m04_couplers_WDATA; wire m04_couplers_to_m04_couplers_WREADY; wire [3:0]m04_couplers_to_m04_couplers_WSTRB; wire m04_couplers_to_m04_couplers_WVALID; assign M_AXI_araddr[8:0] = m04_couplers_to_m04_couplers_ARADDR; assign M_AXI_arvalid = m04_couplers_to_m04_couplers_ARVALID; assign M_AXI_awaddr[8:0] = m04_couplers_to_m04_couplers_AWADDR; assign M_AXI_awvalid = m04_couplers_to_m04_couplers_AWVALID; assign M_AXI_bready = m04_couplers_to_m04_couplers_BREADY; assign M_AXI_rready = m04_couplers_to_m04_couplers_RREADY; assign M_AXI_wdata[31:0] = m04_couplers_to_m04_couplers_WDATA; assign M_AXI_wstrb[3:0] = m04_couplers_to_m04_couplers_WSTRB; assign M_AXI_wvalid = m04_couplers_to_m04_couplers_WVALID; assign S_AXI_arready = m04_couplers_to_m04_couplers_ARREADY; assign S_AXI_awready = m04_couplers_to_m04_couplers_AWREADY; assign S_AXI_bresp[1:0] = m04_couplers_to_m04_couplers_BRESP; assign S_AXI_bvalid = m04_couplers_to_m04_couplers_BVALID; assign S_AXI_rdata[31:0] = m04_couplers_to_m04_couplers_RDATA; assign S_AXI_rresp[1:0] = m04_couplers_to_m04_couplers_RRESP; assign S_AXI_rvalid = m04_couplers_to_m04_couplers_RVALID; assign S_AXI_wready = m04_couplers_to_m04_couplers_WREADY; assign m04_couplers_to_m04_couplers_ARADDR = S_AXI_araddr[8:0]; assign m04_couplers_to_m04_couplers_ARREADY = M_AXI_arready; assign m04_couplers_to_m04_couplers_ARVALID = S_AXI_arvalid; assign m04_couplers_to_m04_couplers_AWADDR = S_AXI_awaddr[8:0]; assign m04_couplers_to_m04_couplers_AWREADY = M_AXI_awready; assign m04_couplers_to_m04_couplers_AWVALID = S_AXI_awvalid; assign m04_couplers_to_m04_couplers_BREADY = S_AXI_bready; assign m04_couplers_to_m04_couplers_BRESP = M_AXI_bresp[1:0]; assign m04_couplers_to_m04_couplers_BVALID = M_AXI_bvalid; assign m04_couplers_to_m04_couplers_RDATA = M_AXI_rdata[31:0]; assign m04_couplers_to_m04_couplers_RREADY = S_AXI_rready; assign m04_couplers_to_m04_couplers_RRESP = M_AXI_rresp[1:0]; assign m04_couplers_to_m04_couplers_RVALID = M_AXI_rvalid; assign m04_couplers_to_m04_couplers_WDATA = S_AXI_wdata[31:0]; assign m04_couplers_to_m04_couplers_WREADY = M_AXI_wready; assign m04_couplers_to_m04_couplers_WSTRB = S_AXI_wstrb[3:0]; assign m04_couplers_to_m04_couplers_WVALID = S_AXI_wvalid; endmodule module m05_couplers_imp_1JZ4DF2 (M_ACLK, M_ARESETN, M_AXI_araddr, M_AXI_arready, M_AXI_arvalid, M_AXI_awaddr, M_AXI_awready, M_AXI_awvalid, M_AXI_bready, M_AXI_bresp, M_AXI_bvalid, M_AXI_rdata, M_AXI_rready, M_AXI_rresp, M_AXI_rvalid, M_AXI_wdata, M_AXI_wready, M_AXI_wstrb, M_AXI_wvalid, S_ACLK, S_ARESETN, S_AXI_araddr, S_AXI_arready, S_AXI_arvalid, S_AXI_awaddr, S_AXI_awready, S_AXI_awvalid, S_AXI_bready, S_AXI_bresp, S_AXI_bvalid, S_AXI_rdata, S_AXI_rready, S_AXI_rresp, S_AXI_rvalid, S_AXI_wdata, S_AXI_wready, S_AXI_wstrb, S_AXI_wvalid); input M_ACLK; input [0:0]M_ARESETN; output [31:0]M_AXI_araddr; input M_AXI_arready; output M_AXI_arvalid; output [31:0]M_AXI_awaddr; input M_AXI_awready; output M_AXI_awvalid; output M_AXI_bready; input [1:0]M_AXI_bresp; input M_AXI_bvalid; input [31:0]M_AXI_rdata; output M_AXI_rready; input [1:0]M_AXI_rresp; input M_AXI_rvalid; output [31:0]M_AXI_wdata; input M_AXI_wready; output [3:0]M_AXI_wstrb; output M_AXI_wvalid; input S_ACLK; input [0:0]S_ARESETN; input [31:0]S_AXI_araddr; output S_AXI_arready; input S_AXI_arvalid; input [31:0]S_AXI_awaddr; output S_AXI_awready; input S_AXI_awvalid; input S_AXI_bready; output [1:0]S_AXI_bresp; output S_AXI_bvalid; output [31:0]S_AXI_rdata; input S_AXI_rready; output [1:0]S_AXI_rresp; output S_AXI_rvalid; input [31:0]S_AXI_wdata; output S_AXI_wready; input [3:0]S_AXI_wstrb; input S_AXI_wvalid; wire [31:0]m05_couplers_to_m05_couplers_ARADDR; wire m05_couplers_to_m05_couplers_ARREADY; wire m05_couplers_to_m05_couplers_ARVALID; wire [31:0]m05_couplers_to_m05_couplers_AWADDR; wire m05_couplers_to_m05_couplers_AWREADY; wire m05_couplers_to_m05_couplers_AWVALID; wire m05_couplers_to_m05_couplers_BREADY; wire [1:0]m05_couplers_to_m05_couplers_BRESP; wire m05_couplers_to_m05_couplers_BVALID; wire [31:0]m05_couplers_to_m05_couplers_RDATA; wire m05_couplers_to_m05_couplers_RREADY; wire [1:0]m05_couplers_to_m05_couplers_RRESP; wire m05_couplers_to_m05_couplers_RVALID; wire [31:0]m05_couplers_to_m05_couplers_WDATA; wire m05_couplers_to_m05_couplers_WREADY; wire [3:0]m05_couplers_to_m05_couplers_WSTRB; wire m05_couplers_to_m05_couplers_WVALID; assign M_AXI_araddr[31:0] = m05_couplers_to_m05_couplers_ARADDR; assign M_AXI_arvalid = m05_couplers_to_m05_couplers_ARVALID; assign M_AXI_awaddr[31:0] = m05_couplers_to_m05_couplers_AWADDR; assign M_AXI_awvalid = m05_couplers_to_m05_couplers_AWVALID; assign M_AXI_bready = m05_couplers_to_m05_couplers_BREADY; assign M_AXI_rready = m05_couplers_to_m05_couplers_RREADY; assign M_AXI_wdata[31:0] = m05_couplers_to_m05_couplers_WDATA; assign M_AXI_wstrb[3:0] = m05_couplers_to_m05_couplers_WSTRB; assign M_AXI_wvalid = m05_couplers_to_m05_couplers_WVALID; assign S_AXI_arready = m05_couplers_to_m05_couplers_ARREADY; assign S_AXI_awready = m05_couplers_to_m05_couplers_AWREADY; assign S_AXI_bresp[1:0] = m05_couplers_to_m05_couplers_BRESP; assign S_AXI_bvalid = m05_couplers_to_m05_couplers_BVALID; assign S_AXI_rdata[31:0] = m05_couplers_to_m05_couplers_RDATA; assign S_AXI_rresp[1:0] = m05_couplers_to_m05_couplers_RRESP; assign S_AXI_rvalid = m05_couplers_to_m05_couplers_RVALID; assign S_AXI_wready = m05_couplers_to_m05_couplers_WREADY; assign m05_couplers_to_m05_couplers_ARADDR = S_AXI_araddr[31:0]; assign m05_couplers_to_m05_couplers_ARREADY = M_AXI_arready; assign m05_couplers_to_m05_couplers_ARVALID = S_AXI_arvalid; assign m05_couplers_to_m05_couplers_AWADDR = S_AXI_awaddr[31:0]; assign m05_couplers_to_m05_couplers_AWREADY = M_AXI_awready; assign m05_couplers_to_m05_couplers_AWVALID = S_AXI_awvalid; assign m05_couplers_to_m05_couplers_BREADY = S_AXI_bready; assign m05_couplers_to_m05_couplers_BRESP = M_AXI_bresp[1:0]; assign m05_couplers_to_m05_couplers_BVALID = M_AXI_bvalid; assign m05_couplers_to_m05_couplers_RDATA = M_AXI_rdata[31:0]; assign m05_couplers_to_m05_couplers_RREADY = S_AXI_rready; assign m05_couplers_to_m05_couplers_RRESP = M_AXI_rresp[1:0]; assign m05_couplers_to_m05_couplers_RVALID = M_AXI_rvalid; assign m05_couplers_to_m05_couplers_WDATA = S_AXI_wdata[31:0]; assign m05_couplers_to_m05_couplers_WREADY = M_AXI_wready; assign m05_couplers_to_m05_couplers_WSTRB = S_AXI_wstrb[3:0]; assign m05_couplers_to_m05_couplers_WVALID = S_AXI_wvalid; endmodule module m06_couplers_imp_1O529HZ (M_ACLK, M_ARESETN, M_AXI_araddr, M_AXI_arprot, M_AXI_arready, M_AXI_arvalid, M_AXI_awaddr, M_AXI_awprot, M_AXI_awready, M_AXI_awvalid, M_AXI_bready, M_AXI_bresp, M_AXI_bvalid, M_AXI_rdata, M_AXI_rready, M_AXI_rresp, M_AXI_rvalid, M_AXI_wdata, M_AXI_wready, M_AXI_wstrb, M_AXI_wvalid, S_ACLK, S_ARESETN, S_AXI_araddr, S_AXI_arprot, S_AXI_arready, S_AXI_arvalid, S_AXI_awaddr, S_AXI_awprot, S_AXI_awready, S_AXI_awvalid, S_AXI_bready, S_AXI_bresp, S_AXI_bvalid, S_AXI_rdata, S_AXI_rready, S_AXI_rresp, S_AXI_rvalid, S_AXI_wdata, S_AXI_wready, S_AXI_wstrb, S_AXI_wvalid); input M_ACLK; input [0:0]M_ARESETN; output [31:0]M_AXI_araddr; output [2:0]M_AXI_arprot; input [0:0]M_AXI_arready; output [0:0]M_AXI_arvalid; output [31:0]M_AXI_awaddr; output [2:0]M_AXI_awprot; input [0:0]M_AXI_awready; output [0:0]M_AXI_awvalid; output [0:0]M_AXI_bready; input [1:0]M_AXI_bresp; input [0:0]M_AXI_bvalid; input [31:0]M_AXI_rdata; output [0:0]M_AXI_rready; input [1:0]M_AXI_rresp; input [0:0]M_AXI_rvalid; output [31:0]M_AXI_wdata; input [0:0]M_AXI_wready; output [3:0]M_AXI_wstrb; output [0:0]M_AXI_wvalid; input S_ACLK; input [0:0]S_ARESETN; input [31:0]S_AXI_araddr; input [2:0]S_AXI_arprot; output [0:0]S_AXI_arready; input [0:0]S_AXI_arvalid; input [31:0]S_AXI_awaddr; input [2:0]S_AXI_awprot; output [0:0]S_AXI_awready; input [0:0]S_AXI_awvalid; input [0:0]S_AXI_bready; output [1:0]S_AXI_bresp; output [0:0]S_AXI_bvalid; output [31:0]S_AXI_rdata; input [0:0]S_AXI_rready; output [1:0]S_AXI_rresp; output [0:0]S_AXI_rvalid; input [31:0]S_AXI_wdata; output [0:0]S_AXI_wready; input [3:0]S_AXI_wstrb; input [0:0]S_AXI_wvalid; wire [31:0]m06_couplers_to_m06_couplers_ARADDR; wire [2:0]m06_couplers_to_m06_couplers_ARPROT; wire [0:0]m06_couplers_to_m06_couplers_ARREADY; wire [0:0]m06_couplers_to_m06_couplers_ARVALID; wire [31:0]m06_couplers_to_m06_couplers_AWADDR; wire [2:0]m06_couplers_to_m06_couplers_AWPROT; wire [0:0]m06_couplers_to_m06_couplers_AWREADY; wire [0:0]m06_couplers_to_m06_couplers_AWVALID; wire [0:0]m06_couplers_to_m06_couplers_BREADY; wire [1:0]m06_couplers_to_m06_couplers_BRESP; wire [0:0]m06_couplers_to_m06_couplers_BVALID; wire [31:0]m06_couplers_to_m06_couplers_RDATA; wire [0:0]m06_couplers_to_m06_couplers_RREADY; wire [1:0]m06_couplers_to_m06_couplers_RRESP; wire [0:0]m06_couplers_to_m06_couplers_RVALID; wire [31:0]m06_couplers_to_m06_couplers_WDATA; wire [0:0]m06_couplers_to_m06_couplers_WREADY; wire [3:0]m06_couplers_to_m06_couplers_WSTRB; wire [0:0]m06_couplers_to_m06_couplers_WVALID; assign M_AXI_araddr[31:0] = m06_couplers_to_m06_couplers_ARADDR; assign M_AXI_arprot[2:0] = m06_couplers_to_m06_couplers_ARPROT; assign M_AXI_arvalid[0] = m06_couplers_to_m06_couplers_ARVALID; assign M_AXI_awaddr[31:0] = m06_couplers_to_m06_couplers_AWADDR; assign M_AXI_awprot[2:0] = m06_couplers_to_m06_couplers_AWPROT; assign M_AXI_awvalid[0] = m06_couplers_to_m06_couplers_AWVALID; assign M_AXI_bready[0] = m06_couplers_to_m06_couplers_BREADY; assign M_AXI_rready[0] = m06_couplers_to_m06_couplers_RREADY; assign M_AXI_wdata[31:0] = m06_couplers_to_m06_couplers_WDATA; assign M_AXI_wstrb[3:0] = m06_couplers_to_m06_couplers_WSTRB; assign M_AXI_wvalid[0] = m06_couplers_to_m06_couplers_WVALID; assign S_AXI_arready[0] = m06_couplers_to_m06_couplers_ARREADY; assign S_AXI_awready[0] = m06_couplers_to_m06_couplers_AWREADY; assign S_AXI_bresp[1:0] = m06_couplers_to_m06_couplers_BRESP; assign S_AXI_bvalid[0] = m06_couplers_to_m06_couplers_BVALID; assign S_AXI_rdata[31:0] = m06_couplers_to_m06_couplers_RDATA; assign S_AXI_rresp[1:0] = m06_couplers_to_m06_couplers_RRESP; assign S_AXI_rvalid[0] = m06_couplers_to_m06_couplers_RVALID; assign S_AXI_wready[0] = m06_couplers_to_m06_couplers_WREADY; assign m06_couplers_to_m06_couplers_ARADDR = S_AXI_araddr[31:0]; assign m06_couplers_to_m06_couplers_ARPROT = S_AXI_arprot[2:0]; assign m06_couplers_to_m06_couplers_ARREADY = M_AXI_arready[0]; assign m06_couplers_to_m06_couplers_ARVALID = S_AXI_arvalid[0]; assign m06_couplers_to_m06_couplers_AWADDR = S_AXI_awaddr[31:0]; assign m06_couplers_to_m06_couplers_AWPROT = S_AXI_awprot[2:0]; assign m06_couplers_to_m06_couplers_AWREADY = M_AXI_awready[0]; assign m06_couplers_to_m06_couplers_AWVALID = S_AXI_awvalid[0]; assign m06_couplers_to_m06_couplers_BREADY = S_AXI_bready[0]; assign m06_couplers_to_m06_couplers_BRESP = M_AXI_bresp[1:0]; assign m06_couplers_to_m06_couplers_BVALID = M_AXI_bvalid[0]; assign m06_couplers_to_m06_couplers_RDATA = M_AXI_rdata[31:0]; assign m06_couplers_to_m06_couplers_RREADY = S_AXI_rready[0]; assign m06_couplers_to_m06_couplers_RRESP = M_AXI_rresp[1:0]; assign m06_couplers_to_m06_couplers_RVALID = M_AXI_rvalid[0]; assign m06_couplers_to_m06_couplers_WDATA = S_AXI_wdata[31:0]; assign m06_couplers_to_m06_couplers_WREADY = M_AXI_wready[0]; assign m06_couplers_to_m06_couplers_WSTRB = S_AXI_wstrb[3:0]; assign m06_couplers_to_m06_couplers_WVALID = S_AXI_wvalid[0]; endmodule module mbsys (EXT_AXI_RESETN, EXT_AXI_araddr, EXT_AXI_arprot, EXT_AXI_arready, EXT_AXI_arvalid, EXT_AXI_awaddr, EXT_AXI_awprot, EXT_AXI_awready, EXT_AXI_awvalid, EXT_AXI_bready, EXT_AXI_bresp, EXT_AXI_bvalid, EXT_AXI_rdata, EXT_AXI_rready, EXT_AXI_rresp, EXT_AXI_rvalid, EXT_AXI_wdata, EXT_AXI_wready, EXT_AXI_wstrb, EXT_AXI_wvalid, diff_clock_rtl_clk_n, diff_clock_rtl_clk_p, ext_axi_clk, ext_reset_in, gpio_rtl_tri_i, gpio_rtl_tri_o, gpio_rtl_tri_t, mdio_rtl_mdc, mdio_rtl_mdio_i, mdio_rtl_mdio_o, mdio_rtl_mdio_t, mgtrefclk1, reset_rtl, sgmii_rtl_rxn, sgmii_rtl_rxp, sgmii_rtl_txn, sgmii_rtl_txp); output [0:0]EXT_AXI_RESETN; output [31:0]EXT_AXI_araddr; output [2:0]EXT_AXI_arprot; input [0:0]EXT_AXI_arready; output [0:0]EXT_AXI_arvalid; output [31:0]EXT_AXI_awaddr; output [2:0]EXT_AXI_awprot; input [0:0]EXT_AXI_awready; output [0:0]EXT_AXI_awvalid; output [0:0]EXT_AXI_bready; input [1:0]EXT_AXI_bresp; input [0:0]EXT_AXI_bvalid; input [31:0]EXT_AXI_rdata; output [0:0]EXT_AXI_rready; input [1:0]EXT_AXI_rresp; input [0:0]EXT_AXI_rvalid; output [31:0]EXT_AXI_wdata; input [0:0]EXT_AXI_wready; output [3:0]EXT_AXI_wstrb; output [0:0]EXT_AXI_wvalid; input diff_clock_rtl_clk_n; input diff_clock_rtl_clk_p; output ext_axi_clk; input ext_reset_in; input [31:0]gpio_rtl_tri_i; output [31:0]gpio_rtl_tri_o; output [31:0]gpio_rtl_tri_t; output mdio_rtl_mdc; input mdio_rtl_mdio_i; output mdio_rtl_mdio_o; output mdio_rtl_mdio_t; input mgtrefclk1; output reset_rtl; input sgmii_rtl_rxn; input sgmii_rtl_rxp; output sgmii_rtl_txn; output sgmii_rtl_txp; wire GND_1; wire VCC_1; wire [31:0]axi_ethernet_0_fifo_AXI_STR_TXC_TDATA; wire [3:0]axi_ethernet_0_fifo_AXI_STR_TXC_TKEEP; wire axi_ethernet_0_fifo_AXI_STR_TXC_TLAST; wire axi_ethernet_0_fifo_AXI_STR_TXC_TREADY; wire axi_ethernet_0_fifo_AXI_STR_TXC_TVALID; wire [31:0]axi_ethernet_0_fifo_AXI_STR_TXD_TDATA; wire [3:0]axi_ethernet_0_fifo_AXI_STR_TXD_TKEEP; wire axi_ethernet_0_fifo_AXI_STR_TXD_TLAST; wire axi_ethernet_0_fifo_AXI_STR_TXD_TREADY; wire axi_ethernet_0_fifo_AXI_STR_TXD_TVALID; wire axi_ethernet_0_fifo_interrupt; wire axi_ethernet_0_fifo_mm2s_cntrl_reset_out_n; wire axi_ethernet_0_fifo_mm2s_prmry_reset_out_n; wire axi_ethernet_0_fifo_s2mm_prmry_reset_out_n; wire axi_ethernet_0_interrupt; wire [31:0]axi_ethernet_0_m_axis_rxd_TDATA; wire [3:0]axi_ethernet_0_m_axis_rxd_TKEEP; wire axi_ethernet_0_m_axis_rxd_TLAST; wire axi_ethernet_0_m_axis_rxd_TREADY; wire axi_ethernet_0_m_axis_rxd_TVALID; wire axi_ethernet_0_mac_irq; wire axi_ethernet_0_mdio_MDC; wire axi_ethernet_0_mdio_MDIO_I; wire axi_ethernet_0_mdio_MDIO_O; wire axi_ethernet_0_mdio_MDIO_T; wire axi_ethernet_0_phy_rst_n; wire axi_ethernet_0_sgmii_RXN; wire axi_ethernet_0_sgmii_RXP; wire axi_ethernet_0_sgmii_TXN; wire axi_ethernet_0_sgmii_TXP; wire [31:0]axi_gpio_0_GPIO_TRI_I; wire [31:0]axi_gpio_0_GPIO_TRI_O; wire [31:0]axi_gpio_0_GPIO_TRI_T; wire axi_timer_0_interrupt; wire clk_in1_1; wire clk_wiz_1_clk_out2; wire clk_wiz_1_locked; wire diff_clock_rtl_1_CLK_N; wire diff_clock_rtl_1_CLK_P; wire ext_reset_in_1; wire mdm_1_Interrupt; wire mdm_1_debug_sys_rst; wire microblaze_0_Clk; wire [31:0]microblaze_0_axi_dp_ARADDR; wire [2:0]microblaze_0_axi_dp_ARPROT; wire [0:0]microblaze_0_axi_dp_ARREADY; wire microblaze_0_axi_dp_ARVALID; wire [31:0]microblaze_0_axi_dp_AWADDR; wire [2:0]microblaze_0_axi_dp_AWPROT; wire [0:0]microblaze_0_axi_dp_AWREADY; wire microblaze_0_axi_dp_AWVALID; wire microblaze_0_axi_dp_BREADY; wire [1:0]microblaze_0_axi_dp_BRESP; wire [0:0]microblaze_0_axi_dp_BVALID; wire [31:0]microblaze_0_axi_dp_RDATA; wire microblaze_0_axi_dp_RREADY; wire [1:0]microblaze_0_axi_dp_RRESP; wire [0:0]microblaze_0_axi_dp_RVALID; wire [31:0]microblaze_0_axi_dp_WDATA; wire [0:0]microblaze_0_axi_dp_WREADY; wire [3:0]microblaze_0_axi_dp_WSTRB; wire microblaze_0_axi_dp_WVALID; wire [4:0]microblaze_0_axi_periph_M01_AXI_ARADDR; wire microblaze_0_axi_periph_M01_AXI_ARREADY; wire microblaze_0_axi_periph_M01_AXI_ARVALID; wire [4:0]microblaze_0_axi_periph_M01_AXI_AWADDR; wire microblaze_0_axi_periph_M01_AXI_AWREADY; wire microblaze_0_axi_periph_M01_AXI_AWVALID; wire microblaze_0_axi_periph_M01_AXI_BREADY; wire [1:0]microblaze_0_axi_periph_M01_AXI_BRESP; wire microblaze_0_axi_periph_M01_AXI_BVALID; wire [31:0]microblaze_0_axi_periph_M01_AXI_RDATA; wire microblaze_0_axi_periph_M01_AXI_RREADY; wire [1:0]microblaze_0_axi_periph_M01_AXI_RRESP; wire microblaze_0_axi_periph_M01_AXI_RVALID; wire [31:0]microblaze_0_axi_periph_M01_AXI_WDATA; wire microblaze_0_axi_periph_M01_AXI_WREADY; wire [3:0]microblaze_0_axi_periph_M01_AXI_WSTRB; wire microblaze_0_axi_periph_M01_AXI_WVALID; wire [17:0]microblaze_0_axi_periph_M02_AXI_ARADDR; wire microblaze_0_axi_periph_M02_AXI_ARREADY; wire microblaze_0_axi_periph_M02_AXI_ARVALID; wire [17:0]microblaze_0_axi_periph_M02_AXI_AWADDR; wire microblaze_0_axi_periph_M02_AXI_AWREADY; wire microblaze_0_axi_periph_M02_AXI_AWVALID; wire microblaze_0_axi_periph_M02_AXI_BREADY; wire [1:0]microblaze_0_axi_periph_M02_AXI_BRESP; wire microblaze_0_axi_periph_M02_AXI_BVALID; wire [31:0]microblaze_0_axi_periph_M02_AXI_RDATA; wire microblaze_0_axi_periph_M02_AXI_RREADY; wire [1:0]microblaze_0_axi_periph_M02_AXI_RRESP; wire microblaze_0_axi_periph_M02_AXI_RVALID; wire [31:0]microblaze_0_axi_periph_M02_AXI_WDATA; wire microblaze_0_axi_periph_M02_AXI_WREADY; wire [3:0]microblaze_0_axi_periph_M02_AXI_WSTRB; wire microblaze_0_axi_periph_M02_AXI_WVALID; wire [31:0]microblaze_0_axi_periph_M03_AXI_ARADDR; wire microblaze_0_axi_periph_M03_AXI_ARREADY; wire microblaze_0_axi_periph_M03_AXI_ARVALID; wire [31:0]microblaze_0_axi_periph_M03_AXI_AWADDR; wire microblaze_0_axi_periph_M03_AXI_AWREADY; wire microblaze_0_axi_periph_M03_AXI_AWVALID; wire microblaze_0_axi_periph_M03_AXI_BREADY; wire [1:0]microblaze_0_axi_periph_M03_AXI_BRESP; wire microblaze_0_axi_periph_M03_AXI_BVALID; wire [31:0]microblaze_0_axi_periph_M03_AXI_RDATA; wire microblaze_0_axi_periph_M03_AXI_RREADY; wire [1:0]microblaze_0_axi_periph_M03_AXI_RRESP; wire microblaze_0_axi_periph_M03_AXI_RVALID; wire [31:0]microblaze_0_axi_periph_M03_AXI_WDATA; wire microblaze_0_axi_periph_M03_AXI_WREADY; wire [3:0]microblaze_0_axi_periph_M03_AXI_WSTRB; wire microblaze_0_axi_periph_M03_AXI_WVALID; wire [8:0]microblaze_0_axi_periph_M04_AXI_ARADDR; wire microblaze_0_axi_periph_M04_AXI_ARREADY; wire microblaze_0_axi_periph_M04_AXI_ARVALID; wire [8:0]microblaze_0_axi_periph_M04_AXI_AWADDR; wire microblaze_0_axi_periph_M04_AXI_AWREADY; wire microblaze_0_axi_periph_M04_AXI_AWVALID; wire microblaze_0_axi_periph_M04_AXI_BREADY; wire [1:0]microblaze_0_axi_periph_M04_AXI_BRESP; wire microblaze_0_axi_periph_M04_AXI_BVALID; wire [31:0]microblaze_0_axi_periph_M04_AXI_RDATA; wire microblaze_0_axi_periph_M04_AXI_RREADY; wire [1:0]microblaze_0_axi_periph_M04_AXI_RRESP; wire microblaze_0_axi_periph_M04_AXI_RVALID; wire [31:0]microblaze_0_axi_periph_M04_AXI_WDATA; wire microblaze_0_axi_periph_M04_AXI_WREADY; wire [3:0]microblaze_0_axi_periph_M04_AXI_WSTRB; wire microblaze_0_axi_periph_M04_AXI_WVALID; wire [31:0]microblaze_0_axi_periph_M05_AXI_ARADDR; wire microblaze_0_axi_periph_M05_AXI_ARREADY; wire microblaze_0_axi_periph_M05_AXI_ARVALID; wire [31:0]microblaze_0_axi_periph_M05_AXI_AWADDR; wire microblaze_0_axi_periph_M05_AXI_AWREADY; wire microblaze_0_axi_periph_M05_AXI_AWVALID; wire microblaze_0_axi_periph_M05_AXI_BREADY; wire [1:0]microblaze_0_axi_periph_M05_AXI_BRESP; wire microblaze_0_axi_periph_M05_AXI_BVALID; wire [31:0]microblaze_0_axi_periph_M05_AXI_RDATA; wire microblaze_0_axi_periph_M05_AXI_RREADY; wire [1:0]microblaze_0_axi_periph_M05_AXI_RRESP; wire microblaze_0_axi_periph_M05_AXI_RVALID; wire [31:0]microblaze_0_axi_periph_M05_AXI_WDATA; wire microblaze_0_axi_periph_M05_AXI_WREADY; wire [3:0]microblaze_0_axi_periph_M05_AXI_WSTRB; wire microblaze_0_axi_periph_M05_AXI_WVALID; wire [31:0]microblaze_0_axi_periph_M06_AXI_ARADDR; wire [2:0]microblaze_0_axi_periph_M06_AXI_ARPROT; wire [0:0]microblaze_0_axi_periph_M06_AXI_ARREADY; wire [0:0]microblaze_0_axi_periph_M06_AXI_ARVALID; wire [31:0]microblaze_0_axi_periph_M06_AXI_AWADDR; wire [2:0]microblaze_0_axi_periph_M06_AXI_AWPROT; wire [0:0]microblaze_0_axi_periph_M06_AXI_AWREADY; wire [0:0]microblaze_0_axi_periph_M06_AXI_AWVALID; wire [0:0]microblaze_0_axi_periph_M06_AXI_BREADY; wire [1:0]microblaze_0_axi_periph_M06_AXI_BRESP; wire [0:0]microblaze_0_axi_periph_M06_AXI_BVALID; wire [31:0]microblaze_0_axi_periph_M06_AXI_RDATA; wire [0:0]microblaze_0_axi_periph_M06_AXI_RREADY; wire [1:0]microblaze_0_axi_periph_M06_AXI_RRESP; wire [0:0]microblaze_0_axi_periph_M06_AXI_RVALID; wire [31:0]microblaze_0_axi_periph_M06_AXI_WDATA; wire [0:0]microblaze_0_axi_periph_M06_AXI_WREADY; wire [3:0]microblaze_0_axi_periph_M06_AXI_WSTRB; wire [0:0]microblaze_0_axi_periph_M06_AXI_WVALID; wire microblaze_0_debug_CAPTURE; wire microblaze_0_debug_CLK; wire [0:7]microblaze_0_debug_REG_EN; wire microblaze_0_debug_RST; wire microblaze_0_debug_SHIFT; wire microblaze_0_debug_TDI; wire microblaze_0_debug_TDO; wire microblaze_0_debug_UPDATE; wire [0:31]microblaze_0_dlmb_1_ABUS; wire microblaze_0_dlmb_1_ADDRSTROBE; wire [0:3]microblaze_0_dlmb_1_BE; wire microblaze_0_dlmb_1_CE; wire [0:31]microblaze_0_dlmb_1_READDBUS; wire microblaze_0_dlmb_1_READSTROBE; wire microblaze_0_dlmb_1_READY; wire microblaze_0_dlmb_1_UE; wire microblaze_0_dlmb_1_WAIT; wire [0:31]microblaze_0_dlmb_1_WRITEDBUS; wire microblaze_0_dlmb_1_WRITESTROBE; wire [0:31]microblaze_0_ilmb_1_ABUS; wire microblaze_0_ilmb_1_ADDRSTROBE; wire microblaze_0_ilmb_1_CE; wire [0:31]microblaze_0_ilmb_1_READDBUS; wire microblaze_0_ilmb_1_READSTROBE; wire microblaze_0_ilmb_1_READY; wire microblaze_0_ilmb_1_UE; wire microblaze_0_ilmb_1_WAIT; wire [8:0]microblaze_0_intc_axi_ARADDR; wire microblaze_0_intc_axi_ARREADY; wire microblaze_0_intc_axi_ARVALID; wire [8:0]microblaze_0_intc_axi_AWADDR; wire microblaze_0_intc_axi_AWREADY; wire microblaze_0_intc_axi_AWVALID; wire microblaze_0_intc_axi_BREADY; wire [1:0]microblaze_0_intc_axi_BRESP; wire microblaze_0_intc_axi_BVALID; wire [31:0]microblaze_0_intc_axi_RDATA; wire microblaze_0_intc_axi_RREADY; wire [1:0]microblaze_0_intc_axi_RRESP; wire microblaze_0_intc_axi_RVALID; wire [31:0]microblaze_0_intc_axi_WDATA; wire microblaze_0_intc_axi_WREADY; wire [3:0]microblaze_0_intc_axi_WSTRB; wire microblaze_0_intc_axi_WVALID; wire [0:1]microblaze_0_interrupt_ACK; wire [31:0]microblaze_0_interrupt_ADDRESS; wire microblaze_0_interrupt_INTERRUPT; wire [4:0]microblaze_0_intr; wire [0:0]rst_clk_wiz_1_100M_bus_struct_reset; wire [0:0]rst_clk_wiz_1_100M_interconnect_aresetn; wire rst_clk_wiz_1_100M_mb_reset; wire [0:0]rst_clk_wiz_1_100M_peripheral_aresetn; assign EXT_AXI_RESETN[0] = rst_clk_wiz_1_100M_peripheral_aresetn; assign EXT_AXI_araddr[31:0] = microblaze_0_axi_periph_M06_AXI_ARADDR; assign EXT_AXI_arprot[2:0] = microblaze_0_axi_periph_M06_AXI_ARPROT; assign EXT_AXI_arvalid[0] = microblaze_0_axi_periph_M06_AXI_ARVALID; assign EXT_AXI_awaddr[31:0] = microblaze_0_axi_periph_M06_AXI_AWADDR; assign EXT_AXI_awprot[2:0] = microblaze_0_axi_periph_M06_AXI_AWPROT; assign EXT_AXI_awvalid[0] = microblaze_0_axi_periph_M06_AXI_AWVALID; assign EXT_AXI_bready[0] = microblaze_0_axi_periph_M06_AXI_BREADY; assign EXT_AXI_rready[0] = microblaze_0_axi_periph_M06_AXI_RREADY; assign EXT_AXI_wdata[31:0] = microblaze_0_axi_periph_M06_AXI_WDATA; assign EXT_AXI_wstrb[3:0] = microblaze_0_axi_periph_M06_AXI_WSTRB; assign EXT_AXI_wvalid[0] = microblaze_0_axi_periph_M06_AXI_WVALID; assign axi_ethernet_0_mdio_MDIO_I = mdio_rtl_mdio_i; assign axi_ethernet_0_sgmii_RXN = sgmii_rtl_rxn; assign axi_ethernet_0_sgmii_RXP = sgmii_rtl_rxp; assign axi_gpio_0_GPIO_TRI_I = gpio_rtl_tri_i[31:0]; assign clk_in1_1 = mgtrefclk1; assign diff_clock_rtl_1_CLK_N = diff_clock_rtl_clk_n; assign diff_clock_rtl_1_CLK_P = diff_clock_rtl_clk_p; assign ext_axi_clk = microblaze_0_Clk; assign ext_reset_in_1 = ext_reset_in; assign gpio_rtl_tri_o[31:0] = axi_gpio_0_GPIO_TRI_O; assign gpio_rtl_tri_t[31:0] = axi_gpio_0_GPIO_TRI_T; assign mdio_rtl_mdc = axi_ethernet_0_mdio_MDC; assign mdio_rtl_mdio_o = axi_ethernet_0_mdio_MDIO_O; assign mdio_rtl_mdio_t = axi_ethernet_0_mdio_MDIO_T; assign microblaze_0_axi_periph_M06_AXI_ARREADY = EXT_AXI_arready[0]; assign microblaze_0_axi_periph_M06_AXI_AWREADY = EXT_AXI_awready[0]; assign microblaze_0_axi_periph_M06_AXI_BRESP = EXT_AXI_bresp[1:0]; assign microblaze_0_axi_periph_M06_AXI_BVALID = EXT_AXI_bvalid[0]; assign microblaze_0_axi_periph_M06_AXI_RDATA = EXT_AXI_rdata[31:0]; assign microblaze_0_axi_periph_M06_AXI_RRESP = EXT_AXI_rresp[1:0]; assign microblaze_0_axi_periph_M06_AXI_RVALID = EXT_AXI_rvalid[0]; assign microblaze_0_axi_periph_M06_AXI_WREADY = EXT_AXI_wready[0]; assign reset_rtl = axi_ethernet_0_phy_rst_n; assign sgmii_rtl_txn = axi_ethernet_0_sgmii_TXN; assign sgmii_rtl_txp = axi_ethernet_0_sgmii_TXP; GND GND (.G(GND_1)); VCC VCC (.P(VCC_1)); mbsys_axi_ethernet_0_0 axi_ethernet_0 (.axi_rxd_arstn(axi_ethernet_0_fifo_s2mm_prmry_reset_out_n), .axi_rxs_arstn(axi_ethernet_0_fifo_s2mm_prmry_reset_out_n), .axi_txc_arstn(axi_ethernet_0_fifo_mm2s_cntrl_reset_out_n), .axi_txd_arstn(axi_ethernet_0_fifo_mm2s_prmry_reset_out_n), .axis_clk(microblaze_0_Clk), .interrupt(axi_ethernet_0_interrupt), .m_axis_rxd_tdata(axi_ethernet_0_m_axis_rxd_TDATA), .m_axis_rxd_tkeep(axi_ethernet_0_m_axis_rxd_TKEEP), .m_axis_rxd_tlast(axi_ethernet_0_m_axis_rxd_TLAST), .m_axis_rxd_tready(axi_ethernet_0_m_axis_rxd_TREADY), .m_axis_rxd_tvalid(axi_ethernet_0_m_axis_rxd_TVALID), .m_axis_rxs_tready(VCC_1), .mac_irq(axi_ethernet_0_mac_irq), .mdio_mdc(axi_ethernet_0_mdio_MDC), .mdio_mdio_i(axi_ethernet_0_mdio_MDIO_I), .mdio_mdio_o(axi_ethernet_0_mdio_MDIO_O), .mdio_mdio_t(axi_ethernet_0_mdio_MDIO_T), .mgt_clk_clk_n(diff_clock_rtl_1_CLK_N), .mgt_clk_clk_p(diff_clock_rtl_1_CLK_P), .phy_rst_n(axi_ethernet_0_phy_rst_n), .ref_clk(clk_wiz_1_clk_out2), .s_axi_araddr(microblaze_0_axi_periph_M02_AXI_ARADDR), .s_axi_arready(microblaze_0_axi_periph_M02_AXI_ARREADY), .s_axi_arvalid(microblaze_0_axi_periph_M02_AXI_ARVALID), .s_axi_awaddr(microblaze_0_axi_periph_M02_AXI_AWADDR), .s_axi_awready(microblaze_0_axi_periph_M02_AXI_AWREADY), .s_axi_awvalid(microblaze_0_axi_periph_M02_AXI_AWVALID), .s_axi_bready(microblaze_0_axi_periph_M02_AXI_BREADY), .s_axi_bresp(microblaze_0_axi_periph_M02_AXI_BRESP), .s_axi_bvalid(microblaze_0_axi_periph_M02_AXI_BVALID), .s_axi_lite_clk(microblaze_0_Clk), .s_axi_lite_resetn(rst_clk_wiz_1_100M_peripheral_aresetn), .s_axi_rdata(microblaze_0_axi_periph_M02_AXI_RDATA), .s_axi_rready(microblaze_0_axi_periph_M02_AXI_RREADY), .s_axi_rresp(microblaze_0_axi_periph_M02_AXI_RRESP), .s_axi_rvalid(microblaze_0_axi_periph_M02_AXI_RVALID), .s_axi_wdata(microblaze_0_axi_periph_M02_AXI_WDATA), .s_axi_wready(microblaze_0_axi_periph_M02_AXI_WREADY), .s_axi_wstrb(microblaze_0_axi_periph_M02_AXI_WSTRB), .s_axi_wvalid(microblaze_0_axi_periph_M02_AXI_WVALID), .s_axis_txc_tdata(axi_ethernet_0_fifo_AXI_STR_TXC_TDATA), .s_axis_txc_tkeep(axi_ethernet_0_fifo_AXI_STR_TXC_TKEEP), .s_axis_txc_tlast(axi_ethernet_0_fifo_AXI_STR_TXC_TLAST), .s_axis_txc_tready(axi_ethernet_0_fifo_AXI_STR_TXC_TREADY), .s_axis_txc_tvalid(axi_ethernet_0_fifo_AXI_STR_TXC_TVALID), .s_axis_txd_tdata(axi_ethernet_0_fifo_AXI_STR_TXD_TDATA), .s_axis_txd_tkeep(axi_ethernet_0_fifo_AXI_STR_TXD_TKEEP), .s_axis_txd_tlast(axi_ethernet_0_fifo_AXI_STR_TXD_TLAST), .s_axis_txd_tready(axi_ethernet_0_fifo_AXI_STR_TXD_TREADY), .s_axis_txd_tvalid(axi_ethernet_0_fifo_AXI_STR_TXD_TVALID), .sgmii_rxn(axi_ethernet_0_sgmii_RXN), .sgmii_rxp(axi_ethernet_0_sgmii_RXP), .sgmii_txn(axi_ethernet_0_sgmii_TXN), .sgmii_txp(axi_ethernet_0_sgmii_TXP), .signal_detect(VCC_1)); mbsys_axi_ethernet_0_fifo_0 axi_ethernet_0_fifo (.axi_str_rxd_tdata(axi_ethernet_0_m_axis_rxd_TDATA), .axi_str_rxd_tkeep(axi_ethernet_0_m_axis_rxd_TKEEP), .axi_str_rxd_tlast(axi_ethernet_0_m_axis_rxd_TLAST), .axi_str_rxd_tready(axi_ethernet_0_m_axis_rxd_TREADY), .axi_str_rxd_tvalid(axi_ethernet_0_m_axis_rxd_TVALID), .axi_str_txc_tdata(axi_ethernet_0_fifo_AXI_STR_TXC_TDATA), .axi_str_txc_tkeep(axi_ethernet_0_fifo_AXI_STR_TXC_TKEEP), .axi_str_txc_tlast(axi_ethernet_0_fifo_AXI_STR_TXC_TLAST), .axi_str_txc_tready(axi_ethernet_0_fifo_AXI_STR_TXC_TREADY), .axi_str_txc_tvalid(axi_ethernet_0_fifo_AXI_STR_TXC_TVALID), .axi_str_txd_tdata(axi_ethernet_0_fifo_AXI_STR_TXD_TDATA), .axi_str_txd_tkeep(axi_ethernet_0_fifo_AXI_STR_TXD_TKEEP), .axi_str_txd_tlast(axi_ethernet_0_fifo_AXI_STR_TXD_TLAST), .axi_str_txd_tready(axi_ethernet_0_fifo_AXI_STR_TXD_TREADY), .axi_str_txd_tvalid(axi_ethernet_0_fifo_AXI_STR_TXD_TVALID), .interrupt(axi_ethernet_0_fifo_interrupt), .mm2s_cntrl_reset_out_n(axi_ethernet_0_fifo_mm2s_cntrl_reset_out_n), .mm2s_prmry_reset_out_n(axi_ethernet_0_fifo_mm2s_prmry_reset_out_n), .s2mm_prmry_reset_out_n(axi_ethernet_0_fifo_s2mm_prmry_reset_out_n), .s_axi_aclk(microblaze_0_Clk), .s_axi_araddr(microblaze_0_axi_periph_M03_AXI_ARADDR), .s_axi_aresetn(rst_clk_wiz_1_100M_peripheral_aresetn), .s_axi_arready(microblaze_0_axi_periph_M03_AXI_ARREADY), .s_axi_arvalid(microblaze_0_axi_periph_M03_AXI_ARVALID), .s_axi_awaddr(microblaze_0_axi_periph_M03_AXI_AWADDR), .s_axi_awready(microblaze_0_axi_periph_M03_AXI_AWREADY), .s_axi_awvalid(microblaze_0_axi_periph_M03_AXI_AWVALID), .s_axi_bready(microblaze_0_axi_periph_M03_AXI_BREADY), .s_axi_bresp(microblaze_0_axi_periph_M03_AXI_BRESP), .s_axi_bvalid(microblaze_0_axi_periph_M03_AXI_BVALID), .s_axi_rdata(microblaze_0_axi_periph_M03_AXI_RDATA), .s_axi_rready(microblaze_0_axi_periph_M03_AXI_RREADY), .s_axi_rresp(microblaze_0_axi_periph_M03_AXI_RRESP), .s_axi_rvalid(microblaze_0_axi_periph_M03_AXI_RVALID), .s_axi_wdata(microblaze_0_axi_periph_M03_AXI_WDATA), .s_axi_wready(microblaze_0_axi_periph_M03_AXI_WREADY), .s_axi_wstrb(microblaze_0_axi_periph_M03_AXI_WSTRB), .s_axi_wvalid(microblaze_0_axi_periph_M03_AXI_WVALID)); mbsys_axi_gpio_0_0 axi_gpio_0 (.gpio_io_i(axi_gpio_0_GPIO_TRI_I), .gpio_io_o(axi_gpio_0_GPIO_TRI_O), .gpio_io_t(axi_gpio_0_GPIO_TRI_T), .s_axi_aclk(microblaze_0_Clk), .s_axi_araddr(microblaze_0_axi_periph_M04_AXI_ARADDR), .s_axi_aresetn(rst_clk_wiz_1_100M_peripheral_aresetn), .s_axi_arready(microblaze_0_axi_periph_M04_AXI_ARREADY), .s_axi_arvalid(microblaze_0_axi_periph_M04_AXI_ARVALID), .s_axi_awaddr(microblaze_0_axi_periph_M04_AXI_AWADDR), .s_axi_awready(microblaze_0_axi_periph_M04_AXI_AWREADY), .s_axi_awvalid(microblaze_0_axi_periph_M04_AXI_AWVALID), .s_axi_bready(microblaze_0_axi_periph_M04_AXI_BREADY), .s_axi_bresp(microblaze_0_axi_periph_M04_AXI_BRESP), .s_axi_bvalid(microblaze_0_axi_periph_M04_AXI_BVALID), .s_axi_rdata(microblaze_0_axi_periph_M04_AXI_RDATA), .s_axi_rready(microblaze_0_axi_periph_M04_AXI_RREADY), .s_axi_rresp(microblaze_0_axi_periph_M04_AXI_RRESP), .s_axi_rvalid(microblaze_0_axi_periph_M04_AXI_RVALID), .s_axi_wdata(microblaze_0_axi_periph_M04_AXI_WDATA), .s_axi_wready(microblaze_0_axi_periph_M04_AXI_WREADY), .s_axi_wstrb(microblaze_0_axi_periph_M04_AXI_WSTRB), .s_axi_wvalid(microblaze_0_axi_periph_M04_AXI_WVALID)); mbsys_axi_timer_0_0 axi_timer_0 (.capturetrig0(GND_1), .capturetrig1(GND_1), .freeze(GND_1), .interrupt(axi_timer_0_interrupt), .s_axi_aclk(microblaze_0_Clk), .s_axi_araddr(microblaze_0_axi_periph_M01_AXI_ARADDR), .s_axi_aresetn(rst_clk_wiz_1_100M_peripheral_aresetn), .s_axi_arready(microblaze_0_axi_periph_M01_AXI_ARREADY), .s_axi_arvalid(microblaze_0_axi_periph_M01_AXI_ARVALID), .s_axi_awaddr(microblaze_0_axi_periph_M01_AXI_AWADDR), .s_axi_awready(microblaze_0_axi_periph_M01_AXI_AWREADY), .s_axi_awvalid(microblaze_0_axi_periph_M01_AXI_AWVALID), .s_axi_bready(microblaze_0_axi_periph_M01_AXI_BREADY), .s_axi_bresp(microblaze_0_axi_periph_M01_AXI_BRESP), .s_axi_bvalid(microblaze_0_axi_periph_M01_AXI_BVALID), .s_axi_rdata(microblaze_0_axi_periph_M01_AXI_RDATA), .s_axi_rready(microblaze_0_axi_periph_M01_AXI_RREADY), .s_axi_rresp(microblaze_0_axi_periph_M01_AXI_RRESP), .s_axi_rvalid(microblaze_0_axi_periph_M01_AXI_RVALID), .s_axi_wdata(microblaze_0_axi_periph_M01_AXI_WDATA), .s_axi_wready(microblaze_0_axi_periph_M01_AXI_WREADY), .s_axi_wstrb(microblaze_0_axi_periph_M01_AXI_WSTRB), .s_axi_wvalid(microblaze_0_axi_periph_M01_AXI_WVALID)); mbsys_clk_wiz_1_0 clk_wiz_1 (.clk_in1(clk_in1_1), .clk_out1(microblaze_0_Clk), .clk_out2(clk_wiz_1_clk_out2), .locked(clk_wiz_1_locked)); mbsys_mdm_1_0 mdm_1 (.Dbg_Capture_0(microblaze_0_debug_CAPTURE), .Dbg_Clk_0(microblaze_0_debug_CLK), .Dbg_Reg_En_0(microblaze_0_debug_REG_EN), .Dbg_Rst_0(microblaze_0_debug_RST), .Dbg_Shift_0(microblaze_0_debug_SHIFT), .Dbg_TDI_0(microblaze_0_debug_TDI), .Dbg_TDO_0(microblaze_0_debug_TDO), .Dbg_Update_0(microblaze_0_debug_UPDATE), .Debug_SYS_Rst(mdm_1_debug_sys_rst), .Interrupt(mdm_1_Interrupt), .S_AXI_ACLK(microblaze_0_Clk), .S_AXI_ARADDR(microblaze_0_axi_periph_M05_AXI_ARADDR), .S_AXI_ARESETN(rst_clk_wiz_1_100M_peripheral_aresetn), .S_AXI_ARREADY(microblaze_0_axi_periph_M05_AXI_ARREADY), .S_AXI_ARVALID(microblaze_0_axi_periph_M05_AXI_ARVALID), .S_AXI_AWADDR(microblaze_0_axi_periph_M05_AXI_AWADDR), .S_AXI_AWREADY(microblaze_0_axi_periph_M05_AXI_AWREADY), .S_AXI_AWVALID(microblaze_0_axi_periph_M05_AXI_AWVALID), .S_AXI_BREADY(microblaze_0_axi_periph_M05_AXI_BREADY), .S_AXI_BRESP(microblaze_0_axi_periph_M05_AXI_BRESP), .S_AXI_BVALID(microblaze_0_axi_periph_M05_AXI_BVALID), .S_AXI_RDATA(microblaze_0_axi_periph_M05_AXI_RDATA), .S_AXI_RREADY(microblaze_0_axi_periph_M05_AXI_RREADY), .S_AXI_RRESP(microblaze_0_axi_periph_M05_AXI_RRESP), .S_AXI_RVALID(microblaze_0_axi_periph_M05_AXI_RVALID), .S_AXI_WDATA(microblaze_0_axi_periph_M05_AXI_WDATA), .S_AXI_WREADY(microblaze_0_axi_periph_M05_AXI_WREADY), .S_AXI_WSTRB(microblaze_0_axi_periph_M05_AXI_WSTRB), .S_AXI_WVALID(microblaze_0_axi_periph_M05_AXI_WVALID)); (* BMM_INFO_PROCESSOR = "microblaze-le > mbsys microblaze_0_local_memory/dlmb_bram_if_cntlr" *) (* KEEP_HIERARCHY = "yes" *) mbsys_microblaze_0_0 microblaze_0 (.Byte_Enable(microblaze_0_dlmb_1_BE), .Clk(microblaze_0_Clk), .DCE(microblaze_0_dlmb_1_CE), .DReady(microblaze_0_dlmb_1_READY), .DUE(microblaze_0_dlmb_1_UE), .DWait(microblaze_0_dlmb_1_WAIT), .D_AS(microblaze_0_dlmb_1_ADDRSTROBE), .Data_Addr(microblaze_0_dlmb_1_ABUS), .Data_Read(microblaze_0_dlmb_1_READDBUS), .Data_Write(microblaze_0_dlmb_1_WRITEDBUS), .Dbg_Capture(microblaze_0_debug_CAPTURE), .Dbg_Clk(microblaze_0_debug_CLK), .Dbg_Reg_En(microblaze_0_debug_REG_EN), .Dbg_Shift(microblaze_0_debug_SHIFT), .Dbg_TDI(microblaze_0_debug_TDI), .Dbg_TDO(microblaze_0_debug_TDO), .Dbg_Update(microblaze_0_debug_UPDATE), .Debug_Rst(microblaze_0_debug_RST), .ICE(microblaze_0_ilmb_1_CE), .IFetch(microblaze_0_ilmb_1_READSTROBE), .IReady(microblaze_0_ilmb_1_READY), .IUE(microblaze_0_ilmb_1_UE), .IWAIT(microblaze_0_ilmb_1_WAIT), .I_AS(microblaze_0_ilmb_1_ADDRSTROBE), .Instr(microblaze_0_ilmb_1_READDBUS), .Instr_Addr(microblaze_0_ilmb_1_ABUS), .Interrupt(microblaze_0_interrupt_INTERRUPT), .Interrupt_Ack(microblaze_0_interrupt_ACK), .Interrupt_Address({microblaze_0_interrupt_ADDRESS[31],microblaze_0_interrupt_ADDRESS[30],microblaze_0_interrupt_ADDRESS[29],microblaze_0_interrupt_ADDRESS[28],microblaze_0_interrupt_ADDRESS[27],microblaze_0_interrupt_ADDRESS[26],microblaze_0_interrupt_ADDRESS[25],microblaze_0_interrupt_ADDRESS[24],microblaze_0_interrupt_ADDRESS[23],microblaze_0_interrupt_ADDRESS[22],microblaze_0_interrupt_ADDRESS[21],microblaze_0_interrupt_ADDRESS[20],microblaze_0_interrupt_ADDRESS[19],microblaze_0_interrupt_ADDRESS[18],microblaze_0_interrupt_ADDRESS[17],microblaze_0_interrupt_ADDRESS[16],microblaze_0_interrupt_ADDRESS[15],microblaze_0_interrupt_ADDRESS[14],microblaze_0_interrupt_ADDRESS[13],microblaze_0_interrupt_ADDRESS[12],microblaze_0_interrupt_ADDRESS[11],microblaze_0_interrupt_ADDRESS[10],microblaze_0_interrupt_ADDRESS[9],microblaze_0_interrupt_ADDRESS[8],microblaze_0_interrupt_ADDRESS[7],microblaze_0_interrupt_ADDRESS[6],microblaze_0_interrupt_ADDRESS[5],microblaze_0_interrupt_ADDRESS[4],microblaze_0_interrupt_ADDRESS[3],microblaze_0_interrupt_ADDRESS[2],microblaze_0_interrupt_ADDRESS[1],microblaze_0_interrupt_ADDRESS[0]}), .M_AXI_DP_ARADDR(microblaze_0_axi_dp_ARADDR), .M_AXI_DP_ARPROT(microblaze_0_axi_dp_ARPROT), .M_AXI_DP_ARREADY(microblaze_0_axi_dp_ARREADY), .M_AXI_DP_ARVALID(microblaze_0_axi_dp_ARVALID), .M_AXI_DP_AWADDR(microblaze_0_axi_dp_AWADDR), .M_AXI_DP_AWPROT(microblaze_0_axi_dp_AWPROT), .M_AXI_DP_AWREADY(microblaze_0_axi_dp_AWREADY), .M_AXI_DP_AWVALID(microblaze_0_axi_dp_AWVALID), .M_AXI_DP_BREADY(microblaze_0_axi_dp_BREADY), .M_AXI_DP_BRESP(microblaze_0_axi_dp_BRESP), .M_AXI_DP_BVALID(microblaze_0_axi_dp_BVALID), .M_AXI_DP_RDATA(microblaze_0_axi_dp_RDATA), .M_AXI_DP_RREADY(microblaze_0_axi_dp_RREADY), .M_AXI_DP_RRESP(microblaze_0_axi_dp_RRESP), .M_AXI_DP_RVALID(microblaze_0_axi_dp_RVALID), .M_AXI_DP_WDATA(microblaze_0_axi_dp_WDATA), .M_AXI_DP_WREADY(microblaze_0_axi_dp_WREADY), .M_AXI_DP_WSTRB(microblaze_0_axi_dp_WSTRB), .M_AXI_DP_WVALID(microblaze_0_axi_dp_WVALID), .Read_Strobe(microblaze_0_dlmb_1_READSTROBE), .Reset(rst_clk_wiz_1_100M_mb_reset), .Write_Strobe(microblaze_0_dlmb_1_WRITESTROBE)); mbsys_microblaze_0_axi_intc_0 microblaze_0_axi_intc (.interrupt_address(microblaze_0_interrupt_ADDRESS), .intr(microblaze_0_intr), .irq(microblaze_0_interrupt_INTERRUPT), .processor_ack({microblaze_0_interrupt_ACK[0],microblaze_0_interrupt_ACK[1]}), .processor_clk(microblaze_0_Clk), .processor_rst(rst_clk_wiz_1_100M_mb_reset), .s_axi_aclk(microblaze_0_Clk), .s_axi_araddr(microblaze_0_intc_axi_ARADDR), .s_axi_aresetn(rst_clk_wiz_1_100M_peripheral_aresetn), .s_axi_arready(microblaze_0_intc_axi_ARREADY), .s_axi_arvalid(microblaze_0_intc_axi_ARVALID), .s_axi_awaddr(microblaze_0_intc_axi_AWADDR), .s_axi_awready(microblaze_0_intc_axi_AWREADY), .s_axi_awvalid(microblaze_0_intc_axi_AWVALID), .s_axi_bready(microblaze_0_intc_axi_BREADY), .s_axi_bresp(microblaze_0_intc_axi_BRESP), .s_axi_bvalid(microblaze_0_intc_axi_BVALID), .s_axi_rdata(microblaze_0_intc_axi_RDATA), .s_axi_rready(microblaze_0_intc_axi_RREADY), .s_axi_rresp(microblaze_0_intc_axi_RRESP), .s_axi_rvalid(microblaze_0_intc_axi_RVALID), .s_axi_wdata(microblaze_0_intc_axi_WDATA), .s_axi_wready(microblaze_0_intc_axi_WREADY), .s_axi_wstrb(microblaze_0_intc_axi_WSTRB), .s_axi_wvalid(microblaze_0_intc_axi_WVALID)); mbsys_microblaze_0_axi_periph_0 microblaze_0_axi_periph (.ACLK(microblaze_0_Clk), .ARESETN(rst_clk_wiz_1_100M_interconnect_aresetn), .M00_ACLK(microblaze_0_Clk), .M00_ARESETN(rst_clk_wiz_1_100M_peripheral_aresetn), .M00_AXI_araddr(microblaze_0_intc_axi_ARADDR), .M00_AXI_arready(microblaze_0_intc_axi_ARREADY), .M00_AXI_arvalid(microblaze_0_intc_axi_ARVALID), .M00_AXI_awaddr(microblaze_0_intc_axi_AWADDR), .M00_AXI_awready(microblaze_0_intc_axi_AWREADY), .M00_AXI_awvalid(microblaze_0_intc_axi_AWVALID), .M00_AXI_bready(microblaze_0_intc_axi_BREADY), .M00_AXI_bresp(microblaze_0_intc_axi_BRESP), .M00_AXI_bvalid(microblaze_0_intc_axi_BVALID), .M00_AXI_rdata(microblaze_0_intc_axi_RDATA), .M00_AXI_rready(microblaze_0_intc_axi_RREADY), .M00_AXI_rresp(microblaze_0_intc_axi_RRESP), .M00_AXI_rvalid(microblaze_0_intc_axi_RVALID), .M00_AXI_wdata(microblaze_0_intc_axi_WDATA), .M00_AXI_wready(microblaze_0_intc_axi_WREADY), .M00_AXI_wstrb(microblaze_0_intc_axi_WSTRB), .M00_AXI_wvalid(microblaze_0_intc_axi_WVALID), .M01_ACLK(microblaze_0_Clk), .M01_ARESETN(rst_clk_wiz_1_100M_peripheral_aresetn), .M01_AXI_araddr(microblaze_0_axi_periph_M01_AXI_ARADDR), .M01_AXI_arready(microblaze_0_axi_periph_M01_AXI_ARREADY), .M01_AXI_arvalid(microblaze_0_axi_periph_M01_AXI_ARVALID), .M01_AXI_awaddr(microblaze_0_axi_periph_M01_AXI_AWADDR), .M01_AXI_awready(microblaze_0_axi_periph_M01_AXI_AWREADY), .M01_AXI_awvalid(microblaze_0_axi_periph_M01_AXI_AWVALID), .M01_AXI_bready(microblaze_0_axi_periph_M01_AXI_BREADY), .M01_AXI_bresp(microblaze_0_axi_periph_M01_AXI_BRESP), .M01_AXI_bvalid(microblaze_0_axi_periph_M01_AXI_BVALID), .M01_AXI_rdata(microblaze_0_axi_periph_M01_AXI_RDATA), .M01_AXI_rready(microblaze_0_axi_periph_M01_AXI_RREADY), .M01_AXI_rresp(microblaze_0_axi_periph_M01_AXI_RRESP), .M01_AXI_rvalid(microblaze_0_axi_periph_M01_AXI_RVALID), .M01_AXI_wdata(microblaze_0_axi_periph_M01_AXI_WDATA), .M01_AXI_wready(microblaze_0_axi_periph_M01_AXI_WREADY), .M01_AXI_wstrb(microblaze_0_axi_periph_M01_AXI_WSTRB), .M01_AXI_wvalid(microblaze_0_axi_periph_M01_AXI_WVALID), .M02_ACLK(microblaze_0_Clk), .M02_ARESETN(rst_clk_wiz_1_100M_peripheral_aresetn), .M02_AXI_araddr(microblaze_0_axi_periph_M02_AXI_ARADDR), .M02_AXI_arready(microblaze_0_axi_periph_M02_AXI_ARREADY), .M02_AXI_arvalid(microblaze_0_axi_periph_M02_AXI_ARVALID), .M02_AXI_awaddr(microblaze_0_axi_periph_M02_AXI_AWADDR), .M02_AXI_awready(microblaze_0_axi_periph_M02_AXI_AWREADY), .M02_AXI_awvalid(microblaze_0_axi_periph_M02_AXI_AWVALID), .M02_AXI_bready(microblaze_0_axi_periph_M02_AXI_BREADY), .M02_AXI_bresp(microblaze_0_axi_periph_M02_AXI_BRESP), .M02_AXI_bvalid(microblaze_0_axi_periph_M02_AXI_BVALID), .M02_AXI_rdata(microblaze_0_axi_periph_M02_AXI_RDATA), .M02_AXI_rready(microblaze_0_axi_periph_M02_AXI_RREADY), .M02_AXI_rresp(microblaze_0_axi_periph_M02_AXI_RRESP), .M02_AXI_rvalid(microblaze_0_axi_periph_M02_AXI_RVALID), .M02_AXI_wdata(microblaze_0_axi_periph_M02_AXI_WDATA), .M02_AXI_wready(microblaze_0_axi_periph_M02_AXI_WREADY), .M02_AXI_wstrb(microblaze_0_axi_periph_M02_AXI_WSTRB), .M02_AXI_wvalid(microblaze_0_axi_periph_M02_AXI_WVALID), .M03_ACLK(microblaze_0_Clk), .M03_ARESETN(rst_clk_wiz_1_100M_peripheral_aresetn), .M03_AXI_araddr(microblaze_0_axi_periph_M03_AXI_ARADDR), .M03_AXI_arready(microblaze_0_axi_periph_M03_AXI_ARREADY), .M03_AXI_arvalid(microblaze_0_axi_periph_M03_AXI_ARVALID), .M03_AXI_awaddr(microblaze_0_axi_periph_M03_AXI_AWADDR), .M03_AXI_awready(microblaze_0_axi_periph_M03_AXI_AWREADY), .M03_AXI_awvalid(microblaze_0_axi_periph_M03_AXI_AWVALID), .M03_AXI_bready(microblaze_0_axi_periph_M03_AXI_BREADY), .M03_AXI_bresp(microblaze_0_axi_periph_M03_AXI_BRESP), .M03_AXI_bvalid(microblaze_0_axi_periph_M03_AXI_BVALID), .M03_AXI_rdata(microblaze_0_axi_periph_M03_AXI_RDATA), .M03_AXI_rready(microblaze_0_axi_periph_M03_AXI_RREADY), .M03_AXI_rresp(microblaze_0_axi_periph_M03_AXI_RRESP), .M03_AXI_rvalid(microblaze_0_axi_periph_M03_AXI_RVALID), .M03_AXI_wdata(microblaze_0_axi_periph_M03_AXI_WDATA), .M03_AXI_wready(microblaze_0_axi_periph_M03_AXI_WREADY), .M03_AXI_wstrb(microblaze_0_axi_periph_M03_AXI_WSTRB), .M03_AXI_wvalid(microblaze_0_axi_periph_M03_AXI_WVALID), .M04_ACLK(microblaze_0_Clk), .M04_ARESETN(rst_clk_wiz_1_100M_peripheral_aresetn), .M04_AXI_araddr(microblaze_0_axi_periph_M04_AXI_ARADDR), .M04_AXI_arready(microblaze_0_axi_periph_M04_AXI_ARREADY), .M04_AXI_arvalid(microblaze_0_axi_periph_M04_AXI_ARVALID), .M04_AXI_awaddr(microblaze_0_axi_periph_M04_AXI_AWADDR), .M04_AXI_awready(microblaze_0_axi_periph_M04_AXI_AWREADY), .M04_AXI_awvalid(microblaze_0_axi_periph_M04_AXI_AWVALID), .M04_AXI_bready(microblaze_0_axi_periph_M04_AXI_BREADY), .M04_AXI_bresp(microblaze_0_axi_periph_M04_AXI_BRESP), .M04_AXI_bvalid(microblaze_0_axi_periph_M04_AXI_BVALID), .M04_AXI_rdata(microblaze_0_axi_periph_M04_AXI_RDATA), .M04_AXI_rready(microblaze_0_axi_periph_M04_AXI_RREADY), .M04_AXI_rresp(microblaze_0_axi_periph_M04_AXI_RRESP), .M04_AXI_rvalid(microblaze_0_axi_periph_M04_AXI_RVALID), .M04_AXI_wdata(microblaze_0_axi_periph_M04_AXI_WDATA), .M04_AXI_wready(microblaze_0_axi_periph_M04_AXI_WREADY), .M04_AXI_wstrb(microblaze_0_axi_periph_M04_AXI_WSTRB), .M04_AXI_wvalid(microblaze_0_axi_periph_M04_AXI_WVALID), .M05_ACLK(microblaze_0_Clk), .M05_ARESETN(rst_clk_wiz_1_100M_peripheral_aresetn), .M05_AXI_araddr(microblaze_0_axi_periph_M05_AXI_ARADDR), .M05_AXI_arready(microblaze_0_axi_periph_M05_AXI_ARREADY), .M05_AXI_arvalid(microblaze_0_axi_periph_M05_AXI_ARVALID), .M05_AXI_awaddr(microblaze_0_axi_periph_M05_AXI_AWADDR), .M05_AXI_awready(microblaze_0_axi_periph_M05_AXI_AWREADY), .M05_AXI_awvalid(microblaze_0_axi_periph_M05_AXI_AWVALID), .M05_AXI_bready(microblaze_0_axi_periph_M05_AXI_BREADY), .M05_AXI_bresp(microblaze_0_axi_periph_M05_AXI_BRESP), .M05_AXI_bvalid(microblaze_0_axi_periph_M05_AXI_BVALID), .M05_AXI_rdata(microblaze_0_axi_periph_M05_AXI_RDATA), .M05_AXI_rready(microblaze_0_axi_periph_M05_AXI_RREADY), .M05_AXI_rresp(microblaze_0_axi_periph_M05_AXI_RRESP), .M05_AXI_rvalid(microblaze_0_axi_periph_M05_AXI_RVALID), .M05_AXI_wdata(microblaze_0_axi_periph_M05_AXI_WDATA), .M05_AXI_wready(microblaze_0_axi_periph_M05_AXI_WREADY), .M05_AXI_wstrb(microblaze_0_axi_periph_M05_AXI_WSTRB), .M05_AXI_wvalid(microblaze_0_axi_periph_M05_AXI_WVALID), .M06_ACLK(microblaze_0_Clk), .M06_ARESETN(rst_clk_wiz_1_100M_peripheral_aresetn), .M06_AXI_araddr(microblaze_0_axi_periph_M06_AXI_ARADDR), .M06_AXI_arprot(microblaze_0_axi_periph_M06_AXI_ARPROT), .M06_AXI_arready(microblaze_0_axi_periph_M06_AXI_ARREADY), .M06_AXI_arvalid(microblaze_0_axi_periph_M06_AXI_ARVALID), .M06_AXI_awaddr(microblaze_0_axi_periph_M06_AXI_AWADDR), .M06_AXI_awprot(microblaze_0_axi_periph_M06_AXI_AWPROT), .M06_AXI_awready(microblaze_0_axi_periph_M06_AXI_AWREADY), .M06_AXI_awvalid(microblaze_0_axi_periph_M06_AXI_AWVALID), .M06_AXI_bready(microblaze_0_axi_periph_M06_AXI_BREADY), .M06_AXI_bresp(microblaze_0_axi_periph_M06_AXI_BRESP), .M06_AXI_bvalid(microblaze_0_axi_periph_M06_AXI_BVALID), .M06_AXI_rdata(microblaze_0_axi_periph_M06_AXI_RDATA), .M06_AXI_rready(microblaze_0_axi_periph_M06_AXI_RREADY), .M06_AXI_rresp(microblaze_0_axi_periph_M06_AXI_RRESP), .M06_AXI_rvalid(microblaze_0_axi_periph_M06_AXI_RVALID), .M06_AXI_wdata(microblaze_0_axi_periph_M06_AXI_WDATA), .M06_AXI_wready(microblaze_0_axi_periph_M06_AXI_WREADY), .M06_AXI_wstrb(microblaze_0_axi_periph_M06_AXI_WSTRB), .M06_AXI_wvalid(microblaze_0_axi_periph_M06_AXI_WVALID), .S00_ACLK(microblaze_0_Clk), .S00_ARESETN(rst_clk_wiz_1_100M_peripheral_aresetn), .S00_AXI_araddr(microblaze_0_axi_dp_ARADDR), .S00_AXI_arprot(microblaze_0_axi_dp_ARPROT), .S00_AXI_arready(microblaze_0_axi_dp_ARREADY), .S00_AXI_arvalid(microblaze_0_axi_dp_ARVALID), .S00_AXI_awaddr(microblaze_0_axi_dp_AWADDR), .S00_AXI_awprot(microblaze_0_axi_dp_AWPROT), .S00_AXI_awready(microblaze_0_axi_dp_AWREADY), .S00_AXI_awvalid(microblaze_0_axi_dp_AWVALID), .S00_AXI_bready(microblaze_0_axi_dp_BREADY), .S00_AXI_bresp(microblaze_0_axi_dp_BRESP), .S00_AXI_bvalid(microblaze_0_axi_dp_BVALID), .S00_AXI_rdata(microblaze_0_axi_dp_RDATA), .S00_AXI_rready(microblaze_0_axi_dp_RREADY), .S00_AXI_rresp(microblaze_0_axi_dp_RRESP), .S00_AXI_rvalid(microblaze_0_axi_dp_RVALID), .S00_AXI_wdata(microblaze_0_axi_dp_WDATA), .S00_AXI_wready(microblaze_0_axi_dp_WREADY), .S00_AXI_wstrb(microblaze_0_axi_dp_WSTRB), .S00_AXI_wvalid(microblaze_0_axi_dp_WVALID)); microblaze_0_local_memory_imp_Q2VUON microblaze_0_local_memory (.DLMB_abus(microblaze_0_dlmb_1_ABUS), .DLMB_addrstrobe(microblaze_0_dlmb_1_ADDRSTROBE), .DLMB_be(microblaze_0_dlmb_1_BE), .DLMB_ce(microblaze_0_dlmb_1_CE), .DLMB_readdbus(microblaze_0_dlmb_1_READDBUS), .DLMB_readstrobe(microblaze_0_dlmb_1_READSTROBE), .DLMB_ready(microblaze_0_dlmb_1_READY), .DLMB_ue(microblaze_0_dlmb_1_UE), .DLMB_wait(microblaze_0_dlmb_1_WAIT), .DLMB_writedbus(microblaze_0_dlmb_1_WRITEDBUS), .DLMB_writestrobe(microblaze_0_dlmb_1_WRITESTROBE), .ILMB_abus(microblaze_0_ilmb_1_ABUS), .ILMB_addrstrobe(microblaze_0_ilmb_1_ADDRSTROBE), .ILMB_ce(microblaze_0_ilmb_1_CE), .ILMB_readdbus(microblaze_0_ilmb_1_READDBUS), .ILMB_readstrobe(microblaze_0_ilmb_1_READSTROBE), .ILMB_ready(microblaze_0_ilmb_1_READY), .ILMB_ue(microblaze_0_ilmb_1_UE), .ILMB_wait(microblaze_0_ilmb_1_WAIT), .LMB_Clk(microblaze_0_Clk), .LMB_Rst(rst_clk_wiz_1_100M_bus_struct_reset)); mbsys_microblaze_0_xlconcat_0 microblaze_0_xlconcat (.In0(axi_ethernet_0_interrupt), .In1(axi_ethernet_0_mac_irq), .In2(axi_timer_0_interrupt), .In3(axi_ethernet_0_fifo_interrupt), .In4(mdm_1_Interrupt), .dout(microblaze_0_intr)); mbsys_rst_clk_wiz_1_100M_0 rst_clk_wiz_1_100M (.aux_reset_in(VCC_1), .bus_struct_reset(rst_clk_wiz_1_100M_bus_struct_reset), .dcm_locked(clk_wiz_1_locked), .ext_reset_in(ext_reset_in_1), .interconnect_aresetn(rst_clk_wiz_1_100M_interconnect_aresetn), .mb_debug_sys_rst(mdm_1_debug_sys_rst), .mb_reset(rst_clk_wiz_1_100M_mb_reset), .peripheral_aresetn(rst_clk_wiz_1_100M_peripheral_aresetn), .slowest_sync_clk(microblaze_0_Clk)); endmodule module mbsys_microblaze_0_axi_periph_0 (ACLK, ARESETN, M00_ACLK, M00_ARESETN, M00_AXI_araddr, M00_AXI_arready, M00_AXI_arvalid, M00_AXI_awaddr, M00_AXI_awready, M00_AXI_awvalid, M00_AXI_bready, M00_AXI_bresp, M00_AXI_bvalid, M00_AXI_rdata, M00_AXI_rready, M00_AXI_rresp, M00_AXI_rvalid, M00_AXI_wdata, M00_AXI_wready, M00_AXI_wstrb, M00_AXI_wvalid, M01_ACLK, M01_ARESETN, M01_AXI_araddr, M01_AXI_arready, M01_AXI_arvalid, M01_AXI_awaddr, M01_AXI_awready, M01_AXI_awvalid, M01_AXI_bready, M01_AXI_bresp, M01_AXI_bvalid, M01_AXI_rdata, M01_AXI_rready, M01_AXI_rresp, M01_AXI_rvalid, M01_AXI_wdata, M01_AXI_wready, M01_AXI_wstrb, M01_AXI_wvalid, M02_ACLK, M02_ARESETN, M02_AXI_araddr, M02_AXI_arready, M02_AXI_arvalid, M02_AXI_awaddr, M02_AXI_awready, M02_AXI_awvalid, M02_AXI_bready, M02_AXI_bresp, M02_AXI_bvalid, M02_AXI_rdata, M02_AXI_rready, M02_AXI_rresp, M02_AXI_rvalid, M02_AXI_wdata, M02_AXI_wready, M02_AXI_wstrb, M02_AXI_wvalid, M03_ACLK, M03_ARESETN, M03_AXI_araddr, M03_AXI_arready, M03_AXI_arvalid, M03_AXI_awaddr, M03_AXI_awready, M03_AXI_awvalid, M03_AXI_bready, M03_AXI_bresp, M03_AXI_bvalid, M03_AXI_rdata, M03_AXI_rready, M03_AXI_rresp, M03_AXI_rvalid, M03_AXI_wdata, M03_AXI_wready, M03_AXI_wstrb, M03_AXI_wvalid, M04_ACLK, M04_ARESETN, M04_AXI_araddr, M04_AXI_arready, M04_AXI_arvalid, M04_AXI_awaddr, M04_AXI_awready, M04_AXI_awvalid, M04_AXI_bready, M04_AXI_bresp, M04_AXI_bvalid, M04_AXI_rdata, M04_AXI_rready, M04_AXI_rresp, M04_AXI_rvalid, M04_AXI_wdata, M04_AXI_wready, M04_AXI_wstrb, M04_AXI_wvalid, M05_ACLK, M05_ARESETN, M05_AXI_araddr, M05_AXI_arready, M05_AXI_arvalid, M05_AXI_awaddr, M05_AXI_awready, M05_AXI_awvalid, M05_AXI_bready, M05_AXI_bresp, M05_AXI_bvalid, M05_AXI_rdata, M05_AXI_rready, M05_AXI_rresp, M05_AXI_rvalid, M05_AXI_wdata, M05_AXI_wready, M05_AXI_wstrb, M05_AXI_wvalid, M06_ACLK, M06_ARESETN, M06_AXI_araddr, M06_AXI_arprot, M06_AXI_arready, M06_AXI_arvalid, M06_AXI_awaddr, M06_AXI_awprot, M06_AXI_awready, M06_AXI_awvalid, M06_AXI_bready, M06_AXI_bresp, M06_AXI_bvalid, M06_AXI_rdata, M06_AXI_rready, M06_AXI_rresp, M06_AXI_rvalid, M06_AXI_wdata, M06_AXI_wready, M06_AXI_wstrb, M06_AXI_wvalid, S00_ACLK, S00_ARESETN, S00_AXI_araddr, S00_AXI_arprot, S00_AXI_arready, S00_AXI_arvalid, S00_AXI_awaddr, S00_AXI_awprot, S00_AXI_awready, S00_AXI_awvalid, S00_AXI_bready, S00_AXI_bresp, S00_AXI_bvalid, S00_AXI_rdata, S00_AXI_rready, S00_AXI_rresp, S00_AXI_rvalid, S00_AXI_wdata, S00_AXI_wready, S00_AXI_wstrb, S00_AXI_wvalid); input ACLK; input [0:0]ARESETN; input M00_ACLK; input [0:0]M00_ARESETN; output [8:0]M00_AXI_araddr; input M00_AXI_arready; output M00_AXI_arvalid; output [8:0]M00_AXI_awaddr; input M00_AXI_awready; output M00_AXI_awvalid; output M00_AXI_bready; input [1:0]M00_AXI_bresp; input M00_AXI_bvalid; input [31:0]M00_AXI_rdata; output M00_AXI_rready; input [1:0]M00_AXI_rresp; input M00_AXI_rvalid; output [31:0]M00_AXI_wdata; input M00_AXI_wready; output [3:0]M00_AXI_wstrb; output M00_AXI_wvalid; input M01_ACLK; input [0:0]M01_ARESETN; output [4:0]M01_AXI_araddr; input M01_AXI_arready; output M01_AXI_arvalid; output [4:0]M01_AXI_awaddr; input M01_AXI_awready; output M01_AXI_awvalid; output M01_AXI_bready; input [1:0]M01_AXI_bresp; input M01_AXI_bvalid; input [31:0]M01_AXI_rdata; output M01_AXI_rready; input [1:0]M01_AXI_rresp; input M01_AXI_rvalid; output [31:0]M01_AXI_wdata; input M01_AXI_wready; output [3:0]M01_AXI_wstrb; output M01_AXI_wvalid; input M02_ACLK; input [0:0]M02_ARESETN; output [17:0]M02_AXI_araddr; input M02_AXI_arready; output M02_AXI_arvalid; output [17:0]M02_AXI_awaddr; input M02_AXI_awready; output M02_AXI_awvalid; output M02_AXI_bready; input [1:0]M02_AXI_bresp; input M02_AXI_bvalid; input [31:0]M02_AXI_rdata; output M02_AXI_rready; input [1:0]M02_AXI_rresp; input M02_AXI_rvalid; output [31:0]M02_AXI_wdata; input M02_AXI_wready; output [3:0]M02_AXI_wstrb; output M02_AXI_wvalid; input M03_ACLK; input [0:0]M03_ARESETN; output [31:0]M03_AXI_araddr; input M03_AXI_arready; output M03_AXI_arvalid; output [31:0]M03_AXI_awaddr; input M03_AXI_awready; output M03_AXI_awvalid; output M03_AXI_bready; input [1:0]M03_AXI_bresp; input M03_AXI_bvalid; input [31:0]M03_AXI_rdata; output M03_AXI_rready; input [1:0]M03_AXI_rresp; input M03_AXI_rvalid; output [31:0]M03_AXI_wdata; input M03_AXI_wready; output [3:0]M03_AXI_wstrb; output M03_AXI_wvalid; input M04_ACLK; input [0:0]M04_ARESETN; output [8:0]M04_AXI_araddr; input M04_AXI_arready; output M04_AXI_arvalid; output [8:0]M04_AXI_awaddr; input M04_AXI_awready; output M04_AXI_awvalid; output M04_AXI_bready; input [1:0]M04_AXI_bresp; input M04_AXI_bvalid; input [31:0]M04_AXI_rdata; output M04_AXI_rready; input [1:0]M04_AXI_rresp; input M04_AXI_rvalid; output [31:0]M04_AXI_wdata; input M04_AXI_wready; output [3:0]M04_AXI_wstrb; output M04_AXI_wvalid; input M05_ACLK; input [0:0]M05_ARESETN; output [31:0]M05_AXI_araddr; input M05_AXI_arready; output M05_AXI_arvalid; output [31:0]M05_AXI_awaddr; input M05_AXI_awready; output M05_AXI_awvalid; output M05_AXI_bready; input [1:0]M05_AXI_bresp; input M05_AXI_bvalid; input [31:0]M05_AXI_rdata; output M05_AXI_rready; input [1:0]M05_AXI_rresp; input M05_AXI_rvalid; output [31:0]M05_AXI_wdata; input M05_AXI_wready; output [3:0]M05_AXI_wstrb; output M05_AXI_wvalid; input M06_ACLK; input [0:0]M06_ARESETN; output [31:0]M06_AXI_araddr; output [2:0]M06_AXI_arprot; input [0:0]M06_AXI_arready; output [0:0]M06_AXI_arvalid; output [31:0]M06_AXI_awaddr; output [2:0]M06_AXI_awprot; input [0:0]M06_AXI_awready; output [0:0]M06_AXI_awvalid; output [0:0]M06_AXI_bready; input [1:0]M06_AXI_bresp; input [0:0]M06_AXI_bvalid; input [31:0]M06_AXI_rdata; output [0:0]M06_AXI_rready; input [1:0]M06_AXI_rresp; input [0:0]M06_AXI_rvalid; output [31:0]M06_AXI_wdata; input [0:0]M06_AXI_wready; output [3:0]M06_AXI_wstrb; output [0:0]M06_AXI_wvalid; input S00_ACLK; input [0:0]S00_ARESETN; input [31:0]S00_AXI_araddr; input [2:0]S00_AXI_arprot; output [0:0]S00_AXI_arready; input [0:0]S00_AXI_arvalid; input [31:0]S00_AXI_awaddr; input [2:0]S00_AXI_awprot; output [0:0]S00_AXI_awready; input [0:0]S00_AXI_awvalid; input [0:0]S00_AXI_bready; output [1:0]S00_AXI_bresp; output [0:0]S00_AXI_bvalid; output [31:0]S00_AXI_rdata; input [0:0]S00_AXI_rready; output [1:0]S00_AXI_rresp; output [0:0]S00_AXI_rvalid; input [31:0]S00_AXI_wdata; output [0:0]S00_AXI_wready; input [3:0]S00_AXI_wstrb; input [0:0]S00_AXI_wvalid; wire M00_ACLK_1; wire [0:0]M00_ARESETN_1; wire M01_ACLK_1; wire [0:0]M01_ARESETN_1; wire M02_ACLK_1; wire [0:0]M02_ARESETN_1; wire M03_ACLK_1; wire [0:0]M03_ARESETN_1; wire M04_ACLK_1; wire [0:0]M04_ARESETN_1; wire M05_ACLK_1; wire [0:0]M05_ARESETN_1; wire M06_ACLK_1; wire [0:0]M06_ARESETN_1; wire S00_ACLK_1; wire [0:0]S00_ARESETN_1; wire [8:0]m00_couplers_to_microblaze_0_axi_periph_ARADDR; wire m00_couplers_to_microblaze_0_axi_periph_ARREADY; wire m00_couplers_to_microblaze_0_axi_periph_ARVALID; wire [8:0]m00_couplers_to_microblaze_0_axi_periph_AWADDR; wire m00_couplers_to_microblaze_0_axi_periph_AWREADY; wire m00_couplers_to_microblaze_0_axi_periph_AWVALID; wire m00_couplers_to_microblaze_0_axi_periph_BREADY; wire [1:0]m00_couplers_to_microblaze_0_axi_periph_BRESP; wire m00_couplers_to_microblaze_0_axi_periph_BVALID; wire [31:0]m00_couplers_to_microblaze_0_axi_periph_RDATA; wire m00_couplers_to_microblaze_0_axi_periph_RREADY; wire [1:0]m00_couplers_to_microblaze_0_axi_periph_RRESP; wire m00_couplers_to_microblaze_0_axi_periph_RVALID; wire [31:0]m00_couplers_to_microblaze_0_axi_periph_WDATA; wire m00_couplers_to_microblaze_0_axi_periph_WREADY; wire [3:0]m00_couplers_to_microblaze_0_axi_periph_WSTRB; wire m00_couplers_to_microblaze_0_axi_periph_WVALID; wire [4:0]m01_couplers_to_microblaze_0_axi_periph_ARADDR; wire m01_couplers_to_microblaze_0_axi_periph_ARREADY; wire m01_couplers_to_microblaze_0_axi_periph_ARVALID; wire [4:0]m01_couplers_to_microblaze_0_axi_periph_AWADDR; wire m01_couplers_to_microblaze_0_axi_periph_AWREADY; wire m01_couplers_to_microblaze_0_axi_periph_AWVALID; wire m01_couplers_to_microblaze_0_axi_periph_BREADY; wire [1:0]m01_couplers_to_microblaze_0_axi_periph_BRESP; wire m01_couplers_to_microblaze_0_axi_periph_BVALID; wire [31:0]m01_couplers_to_microblaze_0_axi_periph_RDATA; wire m01_couplers_to_microblaze_0_axi_periph_RREADY; wire [1:0]m01_couplers_to_microblaze_0_axi_periph_RRESP; wire m01_couplers_to_microblaze_0_axi_periph_RVALID; wire [31:0]m01_couplers_to_microblaze_0_axi_periph_WDATA; wire m01_couplers_to_microblaze_0_axi_periph_WREADY; wire [3:0]m01_couplers_to_microblaze_0_axi_periph_WSTRB; wire m01_couplers_to_microblaze_0_axi_periph_WVALID; wire [17:0]m02_couplers_to_microblaze_0_axi_periph_ARADDR; wire m02_couplers_to_microblaze_0_axi_periph_ARREADY; wire m02_couplers_to_microblaze_0_axi_periph_ARVALID; wire [17:0]m02_couplers_to_microblaze_0_axi_periph_AWADDR; wire m02_couplers_to_microblaze_0_axi_periph_AWREADY; wire m02_couplers_to_microblaze_0_axi_periph_AWVALID; wire m02_couplers_to_microblaze_0_axi_periph_BREADY; wire [1:0]m02_couplers_to_microblaze_0_axi_periph_BRESP; wire m02_couplers_to_microblaze_0_axi_periph_BVALID; wire [31:0]m02_couplers_to_microblaze_0_axi_periph_RDATA; wire m02_couplers_to_microblaze_0_axi_periph_RREADY; wire [1:0]m02_couplers_to_microblaze_0_axi_periph_RRESP; wire m02_couplers_to_microblaze_0_axi_periph_RVALID; wire [31:0]m02_couplers_to_microblaze_0_axi_periph_WDATA; wire m02_couplers_to_microblaze_0_axi_periph_WREADY; wire [3:0]m02_couplers_to_microblaze_0_axi_periph_WSTRB; wire m02_couplers_to_microblaze_0_axi_periph_WVALID; wire [31:0]m03_couplers_to_microblaze_0_axi_periph_ARADDR; wire m03_couplers_to_microblaze_0_axi_periph_ARREADY; wire m03_couplers_to_microblaze_0_axi_periph_ARVALID; wire [31:0]m03_couplers_to_microblaze_0_axi_periph_AWADDR; wire m03_couplers_to_microblaze_0_axi_periph_AWREADY; wire m03_couplers_to_microblaze_0_axi_periph_AWVALID; wire m03_couplers_to_microblaze_0_axi_periph_BREADY; wire [1:0]m03_couplers_to_microblaze_0_axi_periph_BRESP; wire m03_couplers_to_microblaze_0_axi_periph_BVALID; wire [31:0]m03_couplers_to_microblaze_0_axi_periph_RDATA; wire m03_couplers_to_microblaze_0_axi_periph_RREADY; wire [1:0]m03_couplers_to_microblaze_0_axi_periph_RRESP; wire m03_couplers_to_microblaze_0_axi_periph_RVALID; wire [31:0]m03_couplers_to_microblaze_0_axi_periph_WDATA; wire m03_couplers_to_microblaze_0_axi_periph_WREADY; wire [3:0]m03_couplers_to_microblaze_0_axi_periph_WSTRB; wire m03_couplers_to_microblaze_0_axi_periph_WVALID; wire [8:0]m04_couplers_to_microblaze_0_axi_periph_ARADDR; wire m04_couplers_to_microblaze_0_axi_periph_ARREADY; wire m04_couplers_to_microblaze_0_axi_periph_ARVALID; wire [8:0]m04_couplers_to_microblaze_0_axi_periph_AWADDR; wire m04_couplers_to_microblaze_0_axi_periph_AWREADY; wire m04_couplers_to_microblaze_0_axi_periph_AWVALID; wire m04_couplers_to_microblaze_0_axi_periph_BREADY; wire [1:0]m04_couplers_to_microblaze_0_axi_periph_BRESP; wire m04_couplers_to_microblaze_0_axi_periph_BVALID; wire [31:0]m04_couplers_to_microblaze_0_axi_periph_RDATA; wire m04_couplers_to_microblaze_0_axi_periph_RREADY; wire [1:0]m04_couplers_to_microblaze_0_axi_periph_RRESP; wire m04_couplers_to_microblaze_0_axi_periph_RVALID; wire [31:0]m04_couplers_to_microblaze_0_axi_periph_WDATA; wire m04_couplers_to_microblaze_0_axi_periph_WREADY; wire [3:0]m04_couplers_to_microblaze_0_axi_periph_WSTRB; wire m04_couplers_to_microblaze_0_axi_periph_WVALID; wire [31:0]m05_couplers_to_microblaze_0_axi_periph_ARADDR; wire m05_couplers_to_microblaze_0_axi_periph_ARREADY; wire m05_couplers_to_microblaze_0_axi_periph_ARVALID; wire [31:0]m05_couplers_to_microblaze_0_axi_periph_AWADDR; wire m05_couplers_to_microblaze_0_axi_periph_AWREADY; wire m05_couplers_to_microblaze_0_axi_periph_AWVALID; wire m05_couplers_to_microblaze_0_axi_periph_BREADY; wire [1:0]m05_couplers_to_microblaze_0_axi_periph_BRESP; wire m05_couplers_to_microblaze_0_axi_periph_BVALID; wire [31:0]m05_couplers_to_microblaze_0_axi_periph_RDATA; wire m05_couplers_to_microblaze_0_axi_periph_RREADY; wire [1:0]m05_couplers_to_microblaze_0_axi_periph_RRESP; wire m05_couplers_to_microblaze_0_axi_periph_RVALID; wire [31:0]m05_couplers_to_microblaze_0_axi_periph_WDATA; wire m05_couplers_to_microblaze_0_axi_periph_WREADY; wire [3:0]m05_couplers_to_microblaze_0_axi_periph_WSTRB; wire m05_couplers_to_microblaze_0_axi_periph_WVALID; wire [31:0]m06_couplers_to_microblaze_0_axi_periph_ARADDR; wire [2:0]m06_couplers_to_microblaze_0_axi_periph_ARPROT; wire [0:0]m06_couplers_to_microblaze_0_axi_periph_ARREADY; wire [0:0]m06_couplers_to_microblaze_0_axi_periph_ARVALID; wire [31:0]m06_couplers_to_microblaze_0_axi_periph_AWADDR; wire [2:0]m06_couplers_to_microblaze_0_axi_periph_AWPROT; wire [0:0]m06_couplers_to_microblaze_0_axi_periph_AWREADY; wire [0:0]m06_couplers_to_microblaze_0_axi_periph_AWVALID; wire [0:0]m06_couplers_to_microblaze_0_axi_periph_BREADY; wire [1:0]m06_couplers_to_microblaze_0_axi_periph_BRESP; wire [0:0]m06_couplers_to_microblaze_0_axi_periph_BVALID; wire [31:0]m06_couplers_to_microblaze_0_axi_periph_RDATA; wire [0:0]m06_couplers_to_microblaze_0_axi_periph_RREADY; wire [1:0]m06_couplers_to_microblaze_0_axi_periph_RRESP; wire [0:0]m06_couplers_to_microblaze_0_axi_periph_RVALID; wire [31:0]m06_couplers_to_microblaze_0_axi_periph_WDATA; wire [0:0]m06_couplers_to_microblaze_0_axi_periph_WREADY; wire [3:0]m06_couplers_to_microblaze_0_axi_periph_WSTRB; wire [0:0]m06_couplers_to_microblaze_0_axi_periph_WVALID; wire microblaze_0_axi_periph_ACLK_net; wire [0:0]microblaze_0_axi_periph_ARESETN_net; wire [31:0]microblaze_0_axi_periph_to_s00_couplers_ARADDR; wire [2:0]microblaze_0_axi_periph_to_s00_couplers_ARPROT; wire [0:0]microblaze_0_axi_periph_to_s00_couplers_ARREADY; wire [0:0]microblaze_0_axi_periph_to_s00_couplers_ARVALID; wire [31:0]microblaze_0_axi_periph_to_s00_couplers_AWADDR; wire [2:0]microblaze_0_axi_periph_to_s00_couplers_AWPROT; wire [0:0]microblaze_0_axi_periph_to_s00_couplers_AWREADY; wire [0:0]microblaze_0_axi_periph_to_s00_couplers_AWVALID; wire [0:0]microblaze_0_axi_periph_to_s00_couplers_BREADY; wire [1:0]microblaze_0_axi_periph_to_s00_couplers_BRESP; wire [0:0]microblaze_0_axi_periph_to_s00_couplers_BVALID; wire [31:0]microblaze_0_axi_periph_to_s00_couplers_RDATA; wire [0:0]microblaze_0_axi_periph_to_s00_couplers_RREADY; wire [1:0]microblaze_0_axi_periph_to_s00_couplers_RRESP; wire [0:0]microblaze_0_axi_periph_to_s00_couplers_RVALID; wire [31:0]microblaze_0_axi_periph_to_s00_couplers_WDATA; wire [0:0]microblaze_0_axi_periph_to_s00_couplers_WREADY; wire [3:0]microblaze_0_axi_periph_to_s00_couplers_WSTRB; wire [0:0]microblaze_0_axi_periph_to_s00_couplers_WVALID; wire [31:0]s00_couplers_to_xbar_ARADDR; wire [2:0]s00_couplers_to_xbar_ARPROT; wire [0:0]s00_couplers_to_xbar_ARREADY; wire [0:0]s00_couplers_to_xbar_ARVALID; wire [31:0]s00_couplers_to_xbar_AWADDR; wire [2:0]s00_couplers_to_xbar_AWPROT; wire [0:0]s00_couplers_to_xbar_AWREADY; wire [0:0]s00_couplers_to_xbar_AWVALID; wire [0:0]s00_couplers_to_xbar_BREADY; wire [1:0]s00_couplers_to_xbar_BRESP; wire [0:0]s00_couplers_to_xbar_BVALID; wire [31:0]s00_couplers_to_xbar_RDATA; wire [0:0]s00_couplers_to_xbar_RREADY; wire [1:0]s00_couplers_to_xbar_RRESP; wire [0:0]s00_couplers_to_xbar_RVALID; wire [31:0]s00_couplers_to_xbar_WDATA; wire [0:0]s00_couplers_to_xbar_WREADY; wire [3:0]s00_couplers_to_xbar_WSTRB; wire [0:0]s00_couplers_to_xbar_WVALID; wire [31:0]xbar_to_m00_couplers_ARADDR; wire xbar_to_m00_couplers_ARREADY; wire [0:0]xbar_to_m00_couplers_ARVALID; wire [31:0]xbar_to_m00_couplers_AWADDR; wire xbar_to_m00_couplers_AWREADY; wire [0:0]xbar_to_m00_couplers_AWVALID; wire [0:0]xbar_to_m00_couplers_BREADY; wire [1:0]xbar_to_m00_couplers_BRESP; wire xbar_to_m00_couplers_BVALID; wire [31:0]xbar_to_m00_couplers_RDATA; wire [0:0]xbar_to_m00_couplers_RREADY; wire [1:0]xbar_to_m00_couplers_RRESP; wire xbar_to_m00_couplers_RVALID; wire [31:0]xbar_to_m00_couplers_WDATA; wire xbar_to_m00_couplers_WREADY; wire [3:0]xbar_to_m00_couplers_WSTRB; wire [0:0]xbar_to_m00_couplers_WVALID; wire [63:32]xbar_to_m01_couplers_ARADDR; wire xbar_to_m01_couplers_ARREADY; wire [1:1]xbar_to_m01_couplers_ARVALID; wire [63:32]xbar_to_m01_couplers_AWADDR; wire xbar_to_m01_couplers_AWREADY; wire [1:1]xbar_to_m01_couplers_AWVALID; wire [1:1]xbar_to_m01_couplers_BREADY; wire [1:0]xbar_to_m01_couplers_BRESP; wire xbar_to_m01_couplers_BVALID; wire [31:0]xbar_to_m01_couplers_RDATA; wire [1:1]xbar_to_m01_couplers_RREADY; wire [1:0]xbar_to_m01_couplers_RRESP; wire xbar_to_m01_couplers_RVALID; wire [63:32]xbar_to_m01_couplers_WDATA; wire xbar_to_m01_couplers_WREADY; wire [7:4]xbar_to_m01_couplers_WSTRB; wire [1:1]xbar_to_m01_couplers_WVALID; wire [95:64]xbar_to_m02_couplers_ARADDR; wire xbar_to_m02_couplers_ARREADY; wire [2:2]xbar_to_m02_couplers_ARVALID; wire [95:64]xbar_to_m02_couplers_AWADDR; wire xbar_to_m02_couplers_AWREADY; wire [2:2]xbar_to_m02_couplers_AWVALID; wire [2:2]xbar_to_m02_couplers_BREADY; wire [1:0]xbar_to_m02_couplers_BRESP; wire xbar_to_m02_couplers_BVALID; wire [31:0]xbar_to_m02_couplers_RDATA; wire [2:2]xbar_to_m02_couplers_RREADY; wire [1:0]xbar_to_m02_couplers_RRESP; wire xbar_to_m02_couplers_RVALID; wire [95:64]xbar_to_m02_couplers_WDATA; wire xbar_to_m02_couplers_WREADY; wire [11:8]xbar_to_m02_couplers_WSTRB; wire [2:2]xbar_to_m02_couplers_WVALID; wire [127:96]xbar_to_m03_couplers_ARADDR; wire xbar_to_m03_couplers_ARREADY; wire [3:3]xbar_to_m03_couplers_ARVALID; wire [127:96]xbar_to_m03_couplers_AWADDR; wire xbar_to_m03_couplers_AWREADY; wire [3:3]xbar_to_m03_couplers_AWVALID; wire [3:3]xbar_to_m03_couplers_BREADY; wire [1:0]xbar_to_m03_couplers_BRESP; wire xbar_to_m03_couplers_BVALID; wire [31:0]xbar_to_m03_couplers_RDATA; wire [3:3]xbar_to_m03_couplers_RREADY; wire [1:0]xbar_to_m03_couplers_RRESP; wire xbar_to_m03_couplers_RVALID; wire [127:96]xbar_to_m03_couplers_WDATA; wire xbar_to_m03_couplers_WREADY; wire [15:12]xbar_to_m03_couplers_WSTRB; wire [3:3]xbar_to_m03_couplers_WVALID; wire [159:128]xbar_to_m04_couplers_ARADDR; wire xbar_to_m04_couplers_ARREADY; wire [4:4]xbar_to_m04_couplers_ARVALID; wire [159:128]xbar_to_m04_couplers_AWADDR; wire xbar_to_m04_couplers_AWREADY; wire [4:4]xbar_to_m04_couplers_AWVALID; wire [4:4]xbar_to_m04_couplers_BREADY; wire [1:0]xbar_to_m04_couplers_BRESP; wire xbar_to_m04_couplers_BVALID; wire [31:0]xbar_to_m04_couplers_RDATA; wire [4:4]xbar_to_m04_couplers_RREADY; wire [1:0]xbar_to_m04_couplers_RRESP; wire xbar_to_m04_couplers_RVALID; wire [159:128]xbar_to_m04_couplers_WDATA; wire xbar_to_m04_couplers_WREADY; wire [19:16]xbar_to_m04_couplers_WSTRB; wire [4:4]xbar_to_m04_couplers_WVALID; wire [191:160]xbar_to_m05_couplers_ARADDR; wire xbar_to_m05_couplers_ARREADY; wire [5:5]xbar_to_m05_couplers_ARVALID; wire [191:160]xbar_to_m05_couplers_AWADDR; wire xbar_to_m05_couplers_AWREADY; wire [5:5]xbar_to_m05_couplers_AWVALID; wire [5:5]xbar_to_m05_couplers_BREADY; wire [1:0]xbar_to_m05_couplers_BRESP; wire xbar_to_m05_couplers_BVALID; wire [31:0]xbar_to_m05_couplers_RDATA; wire [5:5]xbar_to_m05_couplers_RREADY; wire [1:0]xbar_to_m05_couplers_RRESP; wire xbar_to_m05_couplers_RVALID; wire [191:160]xbar_to_m05_couplers_WDATA; wire xbar_to_m05_couplers_WREADY; wire [23:20]xbar_to_m05_couplers_WSTRB; wire [5:5]xbar_to_m05_couplers_WVALID; wire [223:192]xbar_to_m06_couplers_ARADDR; wire [20:18]xbar_to_m06_couplers_ARPROT; wire [0:0]xbar_to_m06_couplers_ARREADY; wire [6:6]xbar_to_m06_couplers_ARVALID; wire [223:192]xbar_to_m06_couplers_AWADDR; wire [20:18]xbar_to_m06_couplers_AWPROT; wire [0:0]xbar_to_m06_couplers_AWREADY; wire [6:6]xbar_to_m06_couplers_AWVALID; wire [6:6]xbar_to_m06_couplers_BREADY; wire [1:0]xbar_to_m06_couplers_BRESP; wire [0:0]xbar_to_m06_couplers_BVALID; wire [31:0]xbar_to_m06_couplers_RDATA; wire [6:6]xbar_to_m06_couplers_RREADY; wire [1:0]xbar_to_m06_couplers_RRESP; wire [0:0]xbar_to_m06_couplers_RVALID; wire [223:192]xbar_to_m06_couplers_WDATA; wire [0:0]xbar_to_m06_couplers_WREADY; wire [27:24]xbar_to_m06_couplers_WSTRB; wire [6:6]xbar_to_m06_couplers_WVALID; wire [20:0]NLW_xbar_m_axi_arprot_UNCONNECTED; wire [20:0]NLW_xbar_m_axi_awprot_UNCONNECTED; assign M00_ACLK_1 = M00_ACLK; assign M00_ARESETN_1 = M00_ARESETN[0]; assign M00_AXI_araddr[8:0] = m00_couplers_to_microblaze_0_axi_periph_ARADDR; assign M00_AXI_arvalid = m00_couplers_to_microblaze_0_axi_periph_ARVALID; assign M00_AXI_awaddr[8:0] = m00_couplers_to_microblaze_0_axi_periph_AWADDR; assign M00_AXI_awvalid = m00_couplers_to_microblaze_0_axi_periph_AWVALID; assign M00_AXI_bready = m00_couplers_to_microblaze_0_axi_periph_BREADY; assign M00_AXI_rready = m00_couplers_to_microblaze_0_axi_periph_RREADY; assign M00_AXI_wdata[31:0] = m00_couplers_to_microblaze_0_axi_periph_WDATA; assign M00_AXI_wstrb[3:0] = m00_couplers_to_microblaze_0_axi_periph_WSTRB; assign M00_AXI_wvalid = m00_couplers_to_microblaze_0_axi_periph_WVALID; assign M01_ACLK_1 = M01_ACLK; assign M01_ARESETN_1 = M01_ARESETN[0]; assign M01_AXI_araddr[4:0] = m01_couplers_to_microblaze_0_axi_periph_ARADDR; assign M01_AXI_arvalid = m01_couplers_to_microblaze_0_axi_periph_ARVALID; assign M01_AXI_awaddr[4:0] = m01_couplers_to_microblaze_0_axi_periph_AWADDR; assign M01_AXI_awvalid = m01_couplers_to_microblaze_0_axi_periph_AWVALID; assign M01_AXI_bready = m01_couplers_to_microblaze_0_axi_periph_BREADY; assign M01_AXI_rready = m01_couplers_to_microblaze_0_axi_periph_RREADY; assign M01_AXI_wdata[31:0] = m01_couplers_to_microblaze_0_axi_periph_WDATA; assign M01_AXI_wstrb[3:0] = m01_couplers_to_microblaze_0_axi_periph_WSTRB; assign M01_AXI_wvalid = m01_couplers_to_microblaze_0_axi_periph_WVALID; assign M02_ACLK_1 = M02_ACLK; assign M02_ARESETN_1 = M02_ARESETN[0]; assign M02_AXI_araddr[17:0] = m02_couplers_to_microblaze_0_axi_periph_ARADDR; assign M02_AXI_arvalid = m02_couplers_to_microblaze_0_axi_periph_ARVALID; assign M02_AXI_awaddr[17:0] = m02_couplers_to_microblaze_0_axi_periph_AWADDR; assign M02_AXI_awvalid = m02_couplers_to_microblaze_0_axi_periph_AWVALID; assign M02_AXI_bready = m02_couplers_to_microblaze_0_axi_periph_BREADY; assign M02_AXI_rready = m02_couplers_to_microblaze_0_axi_periph_RREADY; assign M02_AXI_wdata[31:0] = m02_couplers_to_microblaze_0_axi_periph_WDATA; assign M02_AXI_wstrb[3:0] = m02_couplers_to_microblaze_0_axi_periph_WSTRB; assign M02_AXI_wvalid = m02_couplers_to_microblaze_0_axi_periph_WVALID; assign M03_ACLK_1 = M03_ACLK; assign M03_ARESETN_1 = M03_ARESETN[0]; assign M03_AXI_araddr[31:0] = m03_couplers_to_microblaze_0_axi_periph_ARADDR; assign M03_AXI_arvalid = m03_couplers_to_microblaze_0_axi_periph_ARVALID; assign M03_AXI_awaddr[31:0] = m03_couplers_to_microblaze_0_axi_periph_AWADDR; assign M03_AXI_awvalid = m03_couplers_to_microblaze_0_axi_periph_AWVALID; assign M03_AXI_bready = m03_couplers_to_microblaze_0_axi_periph_BREADY; assign M03_AXI_rready = m03_couplers_to_microblaze_0_axi_periph_RREADY; assign M03_AXI_wdata[31:0] = m03_couplers_to_microblaze_0_axi_periph_WDATA; assign M03_AXI_wstrb[3:0] = m03_couplers_to_microblaze_0_axi_periph_WSTRB; assign M03_AXI_wvalid = m03_couplers_to_microblaze_0_axi_periph_WVALID; assign M04_ACLK_1 = M04_ACLK; assign M04_ARESETN_1 = M04_ARESETN[0]; assign M04_AXI_araddr[8:0] = m04_couplers_to_microblaze_0_axi_periph_ARADDR; assign M04_AXI_arvalid = m04_couplers_to_microblaze_0_axi_periph_ARVALID; assign M04_AXI_awaddr[8:0] = m04_couplers_to_microblaze_0_axi_periph_AWADDR; assign M04_AXI_awvalid = m04_couplers_to_microblaze_0_axi_periph_AWVALID; assign M04_AXI_bready = m04_couplers_to_microblaze_0_axi_periph_BREADY; assign M04_AXI_rready = m04_couplers_to_microblaze_0_axi_periph_RREADY; assign M04_AXI_wdata[31:0] = m04_couplers_to_microblaze_0_axi_periph_WDATA; assign M04_AXI_wstrb[3:0] = m04_couplers_to_microblaze_0_axi_periph_WSTRB; assign M04_AXI_wvalid = m04_couplers_to_microblaze_0_axi_periph_WVALID; assign M05_ACLK_1 = M05_ACLK; assign M05_ARESETN_1 = M05_ARESETN[0]; assign M05_AXI_araddr[31:0] = m05_couplers_to_microblaze_0_axi_periph_ARADDR; assign M05_AXI_arvalid = m05_couplers_to_microblaze_0_axi_periph_ARVALID; assign M05_AXI_awaddr[31:0] = m05_couplers_to_microblaze_0_axi_periph_AWADDR; assign M05_AXI_awvalid = m05_couplers_to_microblaze_0_axi_periph_AWVALID; assign M05_AXI_bready = m05_couplers_to_microblaze_0_axi_periph_BREADY; assign M05_AXI_rready = m05_couplers_to_microblaze_0_axi_periph_RREADY; assign M05_AXI_wdata[31:0] = m05_couplers_to_microblaze_0_axi_periph_WDATA; assign M05_AXI_wstrb[3:0] = m05_couplers_to_microblaze_0_axi_periph_WSTRB; assign M05_AXI_wvalid = m05_couplers_to_microblaze_0_axi_periph_WVALID; assign M06_ACLK_1 = M06_ACLK; assign M06_ARESETN_1 = M06_ARESETN[0]; assign M06_AXI_araddr[31:0] = m06_couplers_to_microblaze_0_axi_periph_ARADDR; assign M06_AXI_arprot[2:0] = m06_couplers_to_microblaze_0_axi_periph_ARPROT; assign M06_AXI_arvalid[0] = m06_couplers_to_microblaze_0_axi_periph_ARVALID; assign M06_AXI_awaddr[31:0] = m06_couplers_to_microblaze_0_axi_periph_AWADDR; assign M06_AXI_awprot[2:0] = m06_couplers_to_microblaze_0_axi_periph_AWPROT; assign M06_AXI_awvalid[0] = m06_couplers_to_microblaze_0_axi_periph_AWVALID; assign M06_AXI_bready[0] = m06_couplers_to_microblaze_0_axi_periph_BREADY; assign M06_AXI_rready[0] = m06_couplers_to_microblaze_0_axi_periph_RREADY; assign M06_AXI_wdata[31:0] = m06_couplers_to_microblaze_0_axi_periph_WDATA; assign M06_AXI_wstrb[3:0] = m06_couplers_to_microblaze_0_axi_periph_WSTRB; assign M06_AXI_wvalid[0] = m06_couplers_to_microblaze_0_axi_periph_WVALID; assign S00_ACLK_1 = S00_ACLK; assign S00_ARESETN_1 = S00_ARESETN[0]; assign S00_AXI_arready[0] = microblaze_0_axi_periph_to_s00_couplers_ARREADY; assign S00_AXI_awready[0] = microblaze_0_axi_periph_to_s00_couplers_AWREADY; assign S00_AXI_bresp[1:0] = microblaze_0_axi_periph_to_s00_couplers_BRESP; assign S00_AXI_bvalid[0] = microblaze_0_axi_periph_to_s00_couplers_BVALID; assign S00_AXI_rdata[31:0] = microblaze_0_axi_periph_to_s00_couplers_RDATA; assign S00_AXI_rresp[1:0] = microblaze_0_axi_periph_to_s00_couplers_RRESP; assign S00_AXI_rvalid[0] = microblaze_0_axi_periph_to_s00_couplers_RVALID; assign S00_AXI_wready[0] = microblaze_0_axi_periph_to_s00_couplers_WREADY; assign m00_couplers_to_microblaze_0_axi_periph_ARREADY = M00_AXI_arready; assign m00_couplers_to_microblaze_0_axi_periph_AWREADY = M00_AXI_awready; assign m00_couplers_to_microblaze_0_axi_periph_BRESP = M00_AXI_bresp[1:0]; assign m00_couplers_to_microblaze_0_axi_periph_BVALID = M00_AXI_bvalid; assign m00_couplers_to_microblaze_0_axi_periph_RDATA = M00_AXI_rdata[31:0]; assign m00_couplers_to_microblaze_0_axi_periph_RRESP = M00_AXI_rresp[1:0]; assign m00_couplers_to_microblaze_0_axi_periph_RVALID = M00_AXI_rvalid; assign m00_couplers_to_microblaze_0_axi_periph_WREADY = M00_AXI_wready; assign m01_couplers_to_microblaze_0_axi_periph_ARREADY = M01_AXI_arready; assign m01_couplers_to_microblaze_0_axi_periph_AWREADY = M01_AXI_awready; assign m01_couplers_to_microblaze_0_axi_periph_BRESP = M01_AXI_bresp[1:0]; assign m01_couplers_to_microblaze_0_axi_periph_BVALID = M01_AXI_bvalid; assign m01_couplers_to_microblaze_0_axi_periph_RDATA = M01_AXI_rdata[31:0]; assign m01_couplers_to_microblaze_0_axi_periph_RRESP = M01_AXI_rresp[1:0]; assign m01_couplers_to_microblaze_0_axi_periph_RVALID = M01_AXI_rvalid; assign m01_couplers_to_microblaze_0_axi_periph_WREADY = M01_AXI_wready; assign m02_couplers_to_microblaze_0_axi_periph_ARREADY = M02_AXI_arready; assign m02_couplers_to_microblaze_0_axi_periph_AWREADY = M02_AXI_awready; assign m02_couplers_to_microblaze_0_axi_periph_BRESP = M02_AXI_bresp[1:0]; assign m02_couplers_to_microblaze_0_axi_periph_BVALID = M02_AXI_bvalid; assign m02_couplers_to_microblaze_0_axi_periph_RDATA = M02_AXI_rdata[31:0]; assign m02_couplers_to_microblaze_0_axi_periph_RRESP = M02_AXI_rresp[1:0]; assign m02_couplers_to_microblaze_0_axi_periph_RVALID = M02_AXI_rvalid; assign m02_couplers_to_microblaze_0_axi_periph_WREADY = M02_AXI_wready; assign m03_couplers_to_microblaze_0_axi_periph_ARREADY = M03_AXI_arready; assign m03_couplers_to_microblaze_0_axi_periph_AWREADY = M03_AXI_awready; assign m03_couplers_to_microblaze_0_axi_periph_BRESP = M03_AXI_bresp[1:0]; assign m03_couplers_to_microblaze_0_axi_periph_BVALID = M03_AXI_bvalid; assign m03_couplers_to_microblaze_0_axi_periph_RDATA = M03_AXI_rdata[31:0]; assign m03_couplers_to_microblaze_0_axi_periph_RRESP = M03_AXI_rresp[1:0]; assign m03_couplers_to_microblaze_0_axi_periph_RVALID = M03_AXI_rvalid; assign m03_couplers_to_microblaze_0_axi_periph_WREADY = M03_AXI_wready; assign m04_couplers_to_microblaze_0_axi_periph_ARREADY = M04_AXI_arready; assign m04_couplers_to_microblaze_0_axi_periph_AWREADY = M04_AXI_awready; assign m04_couplers_to_microblaze_0_axi_periph_BRESP = M04_AXI_bresp[1:0]; assign m04_couplers_to_microblaze_0_axi_periph_BVALID = M04_AXI_bvalid; assign m04_couplers_to_microblaze_0_axi_periph_RDATA = M04_AXI_rdata[31:0]; assign m04_couplers_to_microblaze_0_axi_periph_RRESP = M04_AXI_rresp[1:0]; assign m04_couplers_to_microblaze_0_axi_periph_RVALID = M04_AXI_rvalid; assign m04_couplers_to_microblaze_0_axi_periph_WREADY = M04_AXI_wready; assign m05_couplers_to_microblaze_0_axi_periph_ARREADY = M05_AXI_arready; assign m05_couplers_to_microblaze_0_axi_periph_AWREADY = M05_AXI_awready; assign m05_couplers_to_microblaze_0_axi_periph_BRESP = M05_AXI_bresp[1:0]; assign m05_couplers_to_microblaze_0_axi_periph_BVALID = M05_AXI_bvalid; assign m05_couplers_to_microblaze_0_axi_periph_RDATA = M05_AXI_rdata[31:0]; assign m05_couplers_to_microblaze_0_axi_periph_RRESP = M05_AXI_rresp[1:0]; assign m05_couplers_to_microblaze_0_axi_periph_RVALID = M05_AXI_rvalid; assign m05_couplers_to_microblaze_0_axi_periph_WREADY = M05_AXI_wready; assign m06_couplers_to_microblaze_0_axi_periph_ARREADY = M06_AXI_arready[0]; assign m06_couplers_to_microblaze_0_axi_periph_AWREADY = M06_AXI_awready[0]; assign m06_couplers_to_microblaze_0_axi_periph_BRESP = M06_AXI_bresp[1:0]; assign m06_couplers_to_microblaze_0_axi_periph_BVALID = M06_AXI_bvalid[0]; assign m06_couplers_to_microblaze_0_axi_periph_RDATA = M06_AXI_rdata[31:0]; assign m06_couplers_to_microblaze_0_axi_periph_RRESP = M06_AXI_rresp[1:0]; assign m06_couplers_to_microblaze_0_axi_periph_RVALID = M06_AXI_rvalid[0]; assign m06_couplers_to_microblaze_0_axi_periph_WREADY = M06_AXI_wready[0]; assign microblaze_0_axi_periph_ACLK_net = ACLK; assign microblaze_0_axi_periph_ARESETN_net = ARESETN[0]; assign microblaze_0_axi_periph_to_s00_couplers_ARADDR = S00_AXI_araddr[31:0]; assign microblaze_0_axi_periph_to_s00_couplers_ARPROT = S00_AXI_arprot[2:0]; assign microblaze_0_axi_periph_to_s00_couplers_ARVALID = S00_AXI_arvalid[0]; assign microblaze_0_axi_periph_to_s00_couplers_AWADDR = S00_AXI_awaddr[31:0]; assign microblaze_0_axi_periph_to_s00_couplers_AWPROT = S00_AXI_awprot[2:0]; assign microblaze_0_axi_periph_to_s00_couplers_AWVALID = S00_AXI_awvalid[0]; assign microblaze_0_axi_periph_to_s00_couplers_BREADY = S00_AXI_bready[0]; assign microblaze_0_axi_periph_to_s00_couplers_RREADY = S00_AXI_rready[0]; assign microblaze_0_axi_periph_to_s00_couplers_WDATA = S00_AXI_wdata[31:0]; assign microblaze_0_axi_periph_to_s00_couplers_WSTRB = S00_AXI_wstrb[3:0]; assign microblaze_0_axi_periph_to_s00_couplers_WVALID = S00_AXI_wvalid[0]; m00_couplers_imp_1XFH7XH m00_couplers (.M_ACLK(M00_ACLK_1), .M_ARESETN(M00_ARESETN_1), .M_AXI_araddr(m00_couplers_to_microblaze_0_axi_periph_ARADDR), .M_AXI_arready(m00_couplers_to_microblaze_0_axi_periph_ARREADY), .M_AXI_arvalid(m00_couplers_to_microblaze_0_axi_periph_ARVALID), .M_AXI_awaddr(m00_couplers_to_microblaze_0_axi_periph_AWADDR), .M_AXI_awready(m00_couplers_to_microblaze_0_axi_periph_AWREADY), .M_AXI_awvalid(m00_couplers_to_microblaze_0_axi_periph_AWVALID), .M_AXI_bready(m00_couplers_to_microblaze_0_axi_periph_BREADY), .M_AXI_bresp(m00_couplers_to_microblaze_0_axi_periph_BRESP), .M_AXI_bvalid(m00_couplers_to_microblaze_0_axi_periph_BVALID), .M_AXI_rdata(m00_couplers_to_microblaze_0_axi_periph_RDATA), .M_AXI_rready(m00_couplers_to_microblaze_0_axi_periph_RREADY), .M_AXI_rresp(m00_couplers_to_microblaze_0_axi_periph_RRESP), .M_AXI_rvalid(m00_couplers_to_microblaze_0_axi_periph_RVALID), .M_AXI_wdata(m00_couplers_to_microblaze_0_axi_periph_WDATA), .M_AXI_wready(m00_couplers_to_microblaze_0_axi_periph_WREADY), .M_AXI_wstrb(m00_couplers_to_microblaze_0_axi_periph_WSTRB), .M_AXI_wvalid(m00_couplers_to_microblaze_0_axi_periph_WVALID), .S_ACLK(microblaze_0_axi_periph_ACLK_net), .S_ARESETN(microblaze_0_axi_periph_ARESETN_net), .S_AXI_araddr(xbar_to_m00_couplers_ARADDR[8:0]), .S_AXI_arready(xbar_to_m00_couplers_ARREADY), .S_AXI_arvalid(xbar_to_m00_couplers_ARVALID), .S_AXI_awaddr(xbar_to_m00_couplers_AWADDR[8:0]), .S_AXI_awready(xbar_to_m00_couplers_AWREADY), .S_AXI_awvalid(xbar_to_m00_couplers_AWVALID), .S_AXI_bready(xbar_to_m00_couplers_BREADY), .S_AXI_bresp(xbar_to_m00_couplers_BRESP), .S_AXI_bvalid(xbar_to_m00_couplers_BVALID), .S_AXI_rdata(xbar_to_m00_couplers_RDATA), .S_AXI_rready(xbar_to_m00_couplers_RREADY), .S_AXI_rresp(xbar_to_m00_couplers_RRESP), .S_AXI_rvalid(xbar_to_m00_couplers_RVALID), .S_AXI_wdata(xbar_to_m00_couplers_WDATA), .S_AXI_wready(xbar_to_m00_couplers_WREADY), .S_AXI_wstrb(xbar_to_m00_couplers_WSTRB), .S_AXI_wvalid(xbar_to_m00_couplers_WVALID)); m01_couplers_imp_ISS8LP m01_couplers (.M_ACLK(M01_ACLK_1), .M_ARESETN(M01_ARESETN_1), .M_AXI_araddr(m01_couplers_to_microblaze_0_axi_periph_ARADDR), .M_AXI_arready(m01_couplers_to_microblaze_0_axi_periph_ARREADY), .M_AXI_arvalid(m01_couplers_to_microblaze_0_axi_periph_ARVALID), .M_AXI_awaddr(m01_couplers_to_microblaze_0_axi_periph_AWADDR), .M_AXI_awready(m01_couplers_to_microblaze_0_axi_periph_AWREADY), .M_AXI_awvalid(m01_couplers_to_microblaze_0_axi_periph_AWVALID), .M_AXI_bready(m01_couplers_to_microblaze_0_axi_periph_BREADY), .M_AXI_bresp(m01_couplers_to_microblaze_0_axi_periph_BRESP), .M_AXI_bvalid(m01_couplers_to_microblaze_0_axi_periph_BVALID), .M_AXI_rdata(m01_couplers_to_microblaze_0_axi_periph_RDATA), .M_AXI_rready(m01_couplers_to_microblaze_0_axi_periph_RREADY), .M_AXI_rresp(m01_couplers_to_microblaze_0_axi_periph_RRESP), .M_AXI_rvalid(m01_couplers_to_microblaze_0_axi_periph_RVALID), .M_AXI_wdata(m01_couplers_to_microblaze_0_axi_periph_WDATA), .M_AXI_wready(m01_couplers_to_microblaze_0_axi_periph_WREADY), .M_AXI_wstrb(m01_couplers_to_microblaze_0_axi_periph_WSTRB), .M_AXI_wvalid(m01_couplers_to_microblaze_0_axi_periph_WVALID), .S_ACLK(microblaze_0_axi_periph_ACLK_net), .S_ARESETN(microblaze_0_axi_periph_ARESETN_net), .S_AXI_araddr(xbar_to_m01_couplers_ARADDR[36:32]), .S_AXI_arready(xbar_to_m01_couplers_ARREADY), .S_AXI_arvalid(xbar_to_m01_couplers_ARVALID), .S_AXI_awaddr(xbar_to_m01_couplers_AWADDR[36:32]), .S_AXI_awready(xbar_to_m01_couplers_AWREADY), .S_AXI_awvalid(xbar_to_m01_couplers_AWVALID), .S_AXI_bready(xbar_to_m01_couplers_BREADY), .S_AXI_bresp(xbar_to_m01_couplers_BRESP), .S_AXI_bvalid(xbar_to_m01_couplers_BVALID), .S_AXI_rdata(xbar_to_m01_couplers_RDATA), .S_AXI_rready(xbar_to_m01_couplers_RREADY), .S_AXI_rresp(xbar_to_m01_couplers_RRESP), .S_AXI_rvalid(xbar_to_m01_couplers_RVALID), .S_AXI_wdata(xbar_to_m01_couplers_WDATA), .S_AXI_wready(xbar_to_m01_couplers_WREADY), .S_AXI_wstrb(xbar_to_m01_couplers_WSTRB), .S_AXI_wvalid(xbar_to_m01_couplers_WVALID)); m02_couplers_imp_MYF8XG m02_couplers (.M_ACLK(M02_ACLK_1), .M_ARESETN(M02_ARESETN_1), .M_AXI_araddr(m02_couplers_to_microblaze_0_axi_periph_ARADDR), .M_AXI_arready(m02_couplers_to_microblaze_0_axi_periph_ARREADY), .M_AXI_arvalid(m02_couplers_to_microblaze_0_axi_periph_ARVALID), .M_AXI_awaddr(m02_couplers_to_microblaze_0_axi_periph_AWADDR), .M_AXI_awready(m02_couplers_to_microblaze_0_axi_periph_AWREADY), .M_AXI_awvalid(m02_couplers_to_microblaze_0_axi_periph_AWVALID), .M_AXI_bready(m02_couplers_to_microblaze_0_axi_periph_BREADY), .M_AXI_bresp(m02_couplers_to_microblaze_0_axi_periph_BRESP), .M_AXI_bvalid(m02_couplers_to_microblaze_0_axi_periph_BVALID), .M_AXI_rdata(m02_couplers_to_microblaze_0_axi_periph_RDATA), .M_AXI_rready(m02_couplers_to_microblaze_0_axi_periph_RREADY), .M_AXI_rresp(m02_couplers_to_microblaze_0_axi_periph_RRESP), .M_AXI_rvalid(m02_couplers_to_microblaze_0_axi_periph_RVALID), .M_AXI_wdata(m02_couplers_to_microblaze_0_axi_periph_WDATA), .M_AXI_wready(m02_couplers_to_microblaze_0_axi_periph_WREADY), .M_AXI_wstrb(m02_couplers_to_microblaze_0_axi_periph_WSTRB), .M_AXI_wvalid(m02_couplers_to_microblaze_0_axi_periph_WVALID), .S_ACLK(microblaze_0_axi_periph_ACLK_net), .S_ARESETN(microblaze_0_axi_periph_ARESETN_net), .S_AXI_araddr(xbar_to_m02_couplers_ARADDR[81:64]), .S_AXI_arready(xbar_to_m02_couplers_ARREADY), .S_AXI_arvalid(xbar_to_m02_couplers_ARVALID), .S_AXI_awaddr(xbar_to_m02_couplers_AWADDR[81:64]), .S_AXI_awready(xbar_to_m02_couplers_AWREADY), .S_AXI_awvalid(xbar_to_m02_couplers_AWVALID), .S_AXI_bready(xbar_to_m02_couplers_BREADY), .S_AXI_bresp(xbar_to_m02_couplers_BRESP), .S_AXI_bvalid(xbar_to_m02_couplers_BVALID), .S_AXI_rdata(xbar_to_m02_couplers_RDATA), .S_AXI_rready(xbar_to_m02_couplers_RREADY), .S_AXI_rresp(xbar_to_m02_couplers_RRESP), .S_AXI_rvalid(xbar_to_m02_couplers_RVALID), .S_AXI_wdata(xbar_to_m02_couplers_WDATA), .S_AXI_wready(xbar_to_m02_couplers_WREADY), .S_AXI_wstrb(xbar_to_m02_couplers_WSTRB), .S_AXI_wvalid(xbar_to_m02_couplers_WVALID)); m03_couplers_imp_1TA53J0 m03_couplers (.M_ACLK(M03_ACLK_1), .M_ARESETN(M03_ARESETN_1), .M_AXI_araddr(m03_couplers_to_microblaze_0_axi_periph_ARADDR), .M_AXI_arready(m03_couplers_to_microblaze_0_axi_periph_ARREADY), .M_AXI_arvalid(m03_couplers_to_microblaze_0_axi_periph_ARVALID), .M_AXI_awaddr(m03_couplers_to_microblaze_0_axi_periph_AWADDR), .M_AXI_awready(m03_couplers_to_microblaze_0_axi_periph_AWREADY), .M_AXI_awvalid(m03_couplers_to_microblaze_0_axi_periph_AWVALID), .M_AXI_bready(m03_couplers_to_microblaze_0_axi_periph_BREADY), .M_AXI_bresp(m03_couplers_to_microblaze_0_axi_periph_BRESP), .M_AXI_bvalid(m03_couplers_to_microblaze_0_axi_periph_BVALID), .M_AXI_rdata(m03_couplers_to_microblaze_0_axi_periph_RDATA), .M_AXI_rready(m03_couplers_to_microblaze_0_axi_periph_RREADY), .M_AXI_rresp(m03_couplers_to_microblaze_0_axi_periph_RRESP), .M_AXI_rvalid(m03_couplers_to_microblaze_0_axi_periph_RVALID), .M_AXI_wdata(m03_couplers_to_microblaze_0_axi_periph_WDATA), .M_AXI_wready(m03_couplers_to_microblaze_0_axi_periph_WREADY), .M_AXI_wstrb(m03_couplers_to_microblaze_0_axi_periph_WSTRB), .M_AXI_wvalid(m03_couplers_to_microblaze_0_axi_periph_WVALID), .S_ACLK(microblaze_0_axi_periph_ACLK_net), .S_ARESETN(microblaze_0_axi_periph_ARESETN_net), .S_AXI_araddr(xbar_to_m03_couplers_ARADDR), .S_AXI_arready(xbar_to_m03_couplers_ARREADY), .S_AXI_arvalid(xbar_to_m03_couplers_ARVALID), .S_AXI_awaddr(xbar_to_m03_couplers_AWADDR), .S_AXI_awready(xbar_to_m03_couplers_AWREADY), .S_AXI_awvalid(xbar_to_m03_couplers_AWVALID), .S_AXI_bready(xbar_to_m03_couplers_BREADY), .S_AXI_bresp(xbar_to_m03_couplers_BRESP), .S_AXI_bvalid(xbar_to_m03_couplers_BVALID), .S_AXI_rdata(xbar_to_m03_couplers_RDATA), .S_AXI_rready(xbar_to_m03_couplers_RREADY), .S_AXI_rresp(xbar_to_m03_couplers_RRESP), .S_AXI_rvalid(xbar_to_m03_couplers_RVALID), .S_AXI_wdata(xbar_to_m03_couplers_WDATA), .S_AXI_wready(xbar_to_m03_couplers_WREADY), .S_AXI_wstrb(xbar_to_m03_couplers_WSTRB), .S_AXI_wvalid(xbar_to_m03_couplers_WVALID)); m04_couplers_imp_V5HJWM m04_couplers (.M_ACLK(M04_ACLK_1), .M_ARESETN(M04_ARESETN_1), .M_AXI_araddr(m04_couplers_to_microblaze_0_axi_periph_ARADDR), .M_AXI_arready(m04_couplers_to_microblaze_0_axi_periph_ARREADY), .M_AXI_arvalid(m04_couplers_to_microblaze_0_axi_periph_ARVALID), .M_AXI_awaddr(m04_couplers_to_microblaze_0_axi_periph_AWADDR), .M_AXI_awready(m04_couplers_to_microblaze_0_axi_periph_AWREADY), .M_AXI_awvalid(m04_couplers_to_microblaze_0_axi_periph_AWVALID), .M_AXI_bready(m04_couplers_to_microblaze_0_axi_periph_BREADY), .M_AXI_bresp(m04_couplers_to_microblaze_0_axi_periph_BRESP), .M_AXI_bvalid(m04_couplers_to_microblaze_0_axi_periph_BVALID), .M_AXI_rdata(m04_couplers_to_microblaze_0_axi_periph_RDATA), .M_AXI_rready(m04_couplers_to_microblaze_0_axi_periph_RREADY), .M_AXI_rresp(m04_couplers_to_microblaze_0_axi_periph_RRESP), .M_AXI_rvalid(m04_couplers_to_microblaze_0_axi_periph_RVALID), .M_AXI_wdata(m04_couplers_to_microblaze_0_axi_periph_WDATA), .M_AXI_wready(m04_couplers_to_microblaze_0_axi_periph_WREADY), .M_AXI_wstrb(m04_couplers_to_microblaze_0_axi_periph_WSTRB), .M_AXI_wvalid(m04_couplers_to_microblaze_0_axi_periph_WVALID), .S_ACLK(microblaze_0_axi_periph_ACLK_net), .S_ARESETN(microblaze_0_axi_periph_ARESETN_net), .S_AXI_araddr(xbar_to_m04_couplers_ARADDR[136:128]), .S_AXI_arready(xbar_to_m04_couplers_ARREADY), .S_AXI_arvalid(xbar_to_m04_couplers_ARVALID), .S_AXI_awaddr(xbar_to_m04_couplers_AWADDR[136:128]), .S_AXI_awready(xbar_to_m04_couplers_AWREADY), .S_AXI_awvalid(xbar_to_m04_couplers_AWVALID), .S_AXI_bready(xbar_to_m04_couplers_BREADY), .S_AXI_bresp(xbar_to_m04_couplers_BRESP), .S_AXI_bvalid(xbar_to_m04_couplers_BVALID), .S_AXI_rdata(xbar_to_m04_couplers_RDATA), .S_AXI_rready(xbar_to_m04_couplers_RREADY), .S_AXI_rresp(xbar_to_m04_couplers_RRESP), .S_AXI_rvalid(xbar_to_m04_couplers_RVALID), .S_AXI_wdata(xbar_to_m04_couplers_WDATA), .S_AXI_wready(xbar_to_m04_couplers_WREADY), .S_AXI_wstrb(xbar_to_m04_couplers_WSTRB), .S_AXI_wvalid(xbar_to_m04_couplers_WVALID)); m05_couplers_imp_1JZ4DF2 m05_couplers (.M_ACLK(M05_ACLK_1), .M_ARESETN(M05_ARESETN_1), .M_AXI_araddr(m05_couplers_to_microblaze_0_axi_periph_ARADDR), .M_AXI_arready(m05_couplers_to_microblaze_0_axi_periph_ARREADY), .M_AXI_arvalid(m05_couplers_to_microblaze_0_axi_periph_ARVALID), .M_AXI_awaddr(m05_couplers_to_microblaze_0_axi_periph_AWADDR), .M_AXI_awready(m05_couplers_to_microblaze_0_axi_periph_AWREADY), .M_AXI_awvalid(m05_couplers_to_microblaze_0_axi_periph_AWVALID), .M_AXI_bready(m05_couplers_to_microblaze_0_axi_periph_BREADY), .M_AXI_bresp(m05_couplers_to_microblaze_0_axi_periph_BRESP), .M_AXI_bvalid(m05_couplers_to_microblaze_0_axi_periph_BVALID), .M_AXI_rdata(m05_couplers_to_microblaze_0_axi_periph_RDATA), .M_AXI_rready(m05_couplers_to_microblaze_0_axi_periph_RREADY), .M_AXI_rresp(m05_couplers_to_microblaze_0_axi_periph_RRESP), .M_AXI_rvalid(m05_couplers_to_microblaze_0_axi_periph_RVALID), .M_AXI_wdata(m05_couplers_to_microblaze_0_axi_periph_WDATA), .M_AXI_wready(m05_couplers_to_microblaze_0_axi_periph_WREADY), .M_AXI_wstrb(m05_couplers_to_microblaze_0_axi_periph_WSTRB), .M_AXI_wvalid(m05_couplers_to_microblaze_0_axi_periph_WVALID), .S_ACLK(microblaze_0_axi_periph_ACLK_net), .S_ARESETN(microblaze_0_axi_periph_ARESETN_net), .S_AXI_araddr(xbar_to_m05_couplers_ARADDR), .S_AXI_arready(xbar_to_m05_couplers_ARREADY), .S_AXI_arvalid(xbar_to_m05_couplers_ARVALID), .S_AXI_awaddr(xbar_to_m05_couplers_AWADDR), .S_AXI_awready(xbar_to_m05_couplers_AWREADY), .S_AXI_awvalid(xbar_to_m05_couplers_AWVALID), .S_AXI_bready(xbar_to_m05_couplers_BREADY), .S_AXI_bresp(xbar_to_m05_couplers_BRESP), .S_AXI_bvalid(xbar_to_m05_couplers_BVALID), .S_AXI_rdata(xbar_to_m05_couplers_RDATA), .S_AXI_rready(xbar_to_m05_couplers_RREADY), .S_AXI_rresp(xbar_to_m05_couplers_RRESP), .S_AXI_rvalid(xbar_to_m05_couplers_RVALID), .S_AXI_wdata(xbar_to_m05_couplers_WDATA), .S_AXI_wready(xbar_to_m05_couplers_WREADY), .S_AXI_wstrb(xbar_to_m05_couplers_WSTRB), .S_AXI_wvalid(xbar_to_m05_couplers_WVALID)); m06_couplers_imp_1O529HZ m06_couplers (.M_ACLK(M06_ACLK_1), .M_ARESETN(M06_ARESETN_1), .M_AXI_araddr(m06_couplers_to_microblaze_0_axi_periph_ARADDR), .M_AXI_arprot(m06_couplers_to_microblaze_0_axi_periph_ARPROT), .M_AXI_arready(m06_couplers_to_microblaze_0_axi_periph_ARREADY), .M_AXI_arvalid(m06_couplers_to_microblaze_0_axi_periph_ARVALID), .M_AXI_awaddr(m06_couplers_to_microblaze_0_axi_periph_AWADDR), .M_AXI_awprot(m06_couplers_to_microblaze_0_axi_periph_AWPROT), .M_AXI_awready(m06_couplers_to_microblaze_0_axi_periph_AWREADY), .M_AXI_awvalid(m06_couplers_to_microblaze_0_axi_periph_AWVALID), .M_AXI_bready(m06_couplers_to_microblaze_0_axi_periph_BREADY), .M_AXI_bresp(m06_couplers_to_microblaze_0_axi_periph_BRESP), .M_AXI_bvalid(m06_couplers_to_microblaze_0_axi_periph_BVALID), .M_AXI_rdata(m06_couplers_to_microblaze_0_axi_periph_RDATA), .M_AXI_rready(m06_couplers_to_microblaze_0_axi_periph_RREADY), .M_AXI_rresp(m06_couplers_to_microblaze_0_axi_periph_RRESP), .M_AXI_rvalid(m06_couplers_to_microblaze_0_axi_periph_RVALID), .M_AXI_wdata(m06_couplers_to_microblaze_0_axi_periph_WDATA), .M_AXI_wready(m06_couplers_to_microblaze_0_axi_periph_WREADY), .M_AXI_wstrb(m06_couplers_to_microblaze_0_axi_periph_WSTRB), .M_AXI_wvalid(m06_couplers_to_microblaze_0_axi_periph_WVALID), .S_ACLK(microblaze_0_axi_periph_ACLK_net), .S_ARESETN(microblaze_0_axi_periph_ARESETN_net), .S_AXI_araddr(xbar_to_m06_couplers_ARADDR), .S_AXI_arprot(xbar_to_m06_couplers_ARPROT), .S_AXI_arready(xbar_to_m06_couplers_ARREADY), .S_AXI_arvalid(xbar_to_m06_couplers_ARVALID), .S_AXI_awaddr(xbar_to_m06_couplers_AWADDR), .S_AXI_awprot(xbar_to_m06_couplers_AWPROT), .S_AXI_awready(xbar_to_m06_couplers_AWREADY), .S_AXI_awvalid(xbar_to_m06_couplers_AWVALID), .S_AXI_bready(xbar_to_m06_couplers_BREADY), .S_AXI_bresp(xbar_to_m06_couplers_BRESP), .S_AXI_bvalid(xbar_to_m06_couplers_BVALID), .S_AXI_rdata(xbar_to_m06_couplers_RDATA), .S_AXI_rready(xbar_to_m06_couplers_RREADY), .S_AXI_rresp(xbar_to_m06_couplers_RRESP), .S_AXI_rvalid(xbar_to_m06_couplers_RVALID), .S_AXI_wdata(xbar_to_m06_couplers_WDATA), .S_AXI_wready(xbar_to_m06_couplers_WREADY), .S_AXI_wstrb(xbar_to_m06_couplers_WSTRB), .S_AXI_wvalid(xbar_to_m06_couplers_WVALID)); s00_couplers_imp_1BT5UVI s00_couplers (.M_ACLK(microblaze_0_axi_periph_ACLK_net), .M_ARESETN(microblaze_0_axi_periph_ARESETN_net), .M_AXI_araddr(s00_couplers_to_xbar_ARADDR), .M_AXI_arprot(s00_couplers_to_xbar_ARPROT), .M_AXI_arready(s00_couplers_to_xbar_ARREADY), .M_AXI_arvalid(s00_couplers_to_xbar_ARVALID), .M_AXI_awaddr(s00_couplers_to_xbar_AWADDR), .M_AXI_awprot(s00_couplers_to_xbar_AWPROT), .M_AXI_awready(s00_couplers_to_xbar_AWREADY), .M_AXI_awvalid(s00_couplers_to_xbar_AWVALID), .M_AXI_bready(s00_couplers_to_xbar_BREADY), .M_AXI_bresp(s00_couplers_to_xbar_BRESP), .M_AXI_bvalid(s00_couplers_to_xbar_BVALID), .M_AXI_rdata(s00_couplers_to_xbar_RDATA), .M_AXI_rready(s00_couplers_to_xbar_RREADY), .M_AXI_rresp(s00_couplers_to_xbar_RRESP), .M_AXI_rvalid(s00_couplers_to_xbar_RVALID), .M_AXI_wdata(s00_couplers_to_xbar_WDATA), .M_AXI_wready(s00_couplers_to_xbar_WREADY), .M_AXI_wstrb(s00_couplers_to_xbar_WSTRB), .M_AXI_wvalid(s00_couplers_to_xbar_WVALID), .S_ACLK(S00_ACLK_1), .S_ARESETN(S00_ARESETN_1), .S_AXI_araddr(microblaze_0_axi_periph_to_s00_couplers_ARADDR), .S_AXI_arprot(microblaze_0_axi_periph_to_s00_couplers_ARPROT), .S_AXI_arready(microblaze_0_axi_periph_to_s00_couplers_ARREADY), .S_AXI_arvalid(microblaze_0_axi_periph_to_s00_couplers_ARVALID), .S_AXI_awaddr(microblaze_0_axi_periph_to_s00_couplers_AWADDR), .S_AXI_awprot(microblaze_0_axi_periph_to_s00_couplers_AWPROT), .S_AXI_awready(microblaze_0_axi_periph_to_s00_couplers_AWREADY), .S_AXI_awvalid(microblaze_0_axi_periph_to_s00_couplers_AWVALID), .S_AXI_bready(microblaze_0_axi_periph_to_s00_couplers_BREADY), .S_AXI_bresp(microblaze_0_axi_periph_to_s00_couplers_BRESP), .S_AXI_bvalid(microblaze_0_axi_periph_to_s00_couplers_BVALID), .S_AXI_rdata(microblaze_0_axi_periph_to_s00_couplers_RDATA), .S_AXI_rready(microblaze_0_axi_periph_to_s00_couplers_RREADY), .S_AXI_rresp(microblaze_0_axi_periph_to_s00_couplers_RRESP), .S_AXI_rvalid(microblaze_0_axi_periph_to_s00_couplers_RVALID), .S_AXI_wdata(microblaze_0_axi_periph_to_s00_couplers_WDATA), .S_AXI_wready(microblaze_0_axi_periph_to_s00_couplers_WREADY), .S_AXI_wstrb(microblaze_0_axi_periph_to_s00_couplers_WSTRB), .S_AXI_wvalid(microblaze_0_axi_periph_to_s00_couplers_WVALID)); mbsys_xbar_0 xbar (.aclk(microblaze_0_axi_periph_ACLK_net), .aresetn(microblaze_0_axi_periph_ARESETN_net), .m_axi_araddr({xbar_to_m06_couplers_ARADDR,xbar_to_m05_couplers_ARADDR,xbar_to_m04_couplers_ARADDR,xbar_to_m03_couplers_ARADDR,xbar_to_m02_couplers_ARADDR,xbar_to_m01_couplers_ARADDR,xbar_to_m00_couplers_ARADDR}), .m_axi_arprot({xbar_to_m06_couplers_ARPROT,NLW_xbar_m_axi_arprot_UNCONNECTED[17:0]}), .m_axi_arready({xbar_to_m06_couplers_ARREADY,xbar_to_m05_couplers_ARREADY,xbar_to_m04_couplers_ARREADY,xbar_to_m03_couplers_ARREADY,xbar_to_m02_couplers_ARREADY,xbar_to_m01_couplers_ARREADY,xbar_to_m00_couplers_ARREADY}), .m_axi_arvalid({xbar_to_m06_couplers_ARVALID,xbar_to_m05_couplers_ARVALID,xbar_to_m04_couplers_ARVALID,xbar_to_m03_couplers_ARVALID,xbar_to_m02_couplers_ARVALID,xbar_to_m01_couplers_ARVALID,xbar_to_m00_couplers_ARVALID}), .m_axi_awaddr({xbar_to_m06_couplers_AWADDR,xbar_to_m05_couplers_AWADDR,xbar_to_m04_couplers_AWADDR,xbar_to_m03_couplers_AWADDR,xbar_to_m02_couplers_AWADDR,xbar_to_m01_couplers_AWADDR,xbar_to_m00_couplers_AWADDR}), .m_axi_awprot({xbar_to_m06_couplers_AWPROT,NLW_xbar_m_axi_awprot_UNCONNECTED[17:0]}), .m_axi_awready({xbar_to_m06_couplers_AWREADY,xbar_to_m05_couplers_AWREADY,xbar_to_m04_couplers_AWREADY,xbar_to_m03_couplers_AWREADY,xbar_to_m02_couplers_AWREADY,xbar_to_m01_couplers_AWREADY,xbar_to_m00_couplers_AWREADY}), .m_axi_awvalid({xbar_to_m06_couplers_AWVALID,xbar_to_m05_couplers_AWVALID,xbar_to_m04_couplers_AWVALID,xbar_to_m03_couplers_AWVALID,xbar_to_m02_couplers_AWVALID,xbar_to_m01_couplers_AWVALID,xbar_to_m00_couplers_AWVALID}), .m_axi_bready({xbar_to_m06_couplers_BREADY,xbar_to_m05_couplers_BREADY,xbar_to_m04_couplers_BREADY,xbar_to_m03_couplers_BREADY,xbar_to_m02_couplers_BREADY,xbar_to_m01_couplers_BREADY,xbar_to_m00_couplers_BREADY}), .m_axi_bresp({xbar_to_m06_couplers_BRESP,xbar_to_m05_couplers_BRESP,xbar_to_m04_couplers_BRESP,xbar_to_m03_couplers_BRESP,xbar_to_m02_couplers_BRESP,xbar_to_m01_couplers_BRESP,xbar_to_m00_couplers_BRESP}), .m_axi_bvalid({xbar_to_m06_couplers_BVALID,xbar_to_m05_couplers_BVALID,xbar_to_m04_couplers_BVALID,xbar_to_m03_couplers_BVALID,xbar_to_m02_couplers_BVALID,xbar_to_m01_couplers_BVALID,xbar_to_m00_couplers_BVALID}), .m_axi_rdata({xbar_to_m06_couplers_RDATA,xbar_to_m05_couplers_RDATA,xbar_to_m04_couplers_RDATA,xbar_to_m03_couplers_RDATA,xbar_to_m02_couplers_RDATA,xbar_to_m01_couplers_RDATA,xbar_to_m00_couplers_RDATA}), .m_axi_rready({xbar_to_m06_couplers_RREADY,xbar_to_m05_couplers_RREADY,xbar_to_m04_couplers_RREADY,xbar_to_m03_couplers_RREADY,xbar_to_m02_couplers_RREADY,xbar_to_m01_couplers_RREADY,xbar_to_m00_couplers_RREADY}), .m_axi_rresp({xbar_to_m06_couplers_RRESP,xbar_to_m05_couplers_RRESP,xbar_to_m04_couplers_RRESP,xbar_to_m03_couplers_RRESP,xbar_to_m02_couplers_RRESP,xbar_to_m01_couplers_RRESP,xbar_to_m00_couplers_RRESP}), .m_axi_rvalid({xbar_to_m06_couplers_RVALID,xbar_to_m05_couplers_RVALID,xbar_to_m04_couplers_RVALID,xbar_to_m03_couplers_RVALID,xbar_to_m02_couplers_RVALID,xbar_to_m01_couplers_RVALID,xbar_to_m00_couplers_RVALID}), .m_axi_wdata({xbar_to_m06_couplers_WDATA,xbar_to_m05_couplers_WDATA,xbar_to_m04_couplers_WDATA,xbar_to_m03_couplers_WDATA,xbar_to_m02_couplers_WDATA,xbar_to_m01_couplers_WDATA,xbar_to_m00_couplers_WDATA}), .m_axi_wready({xbar_to_m06_couplers_WREADY,xbar_to_m05_couplers_WREADY,xbar_to_m04_couplers_WREADY,xbar_to_m03_couplers_WREADY,xbar_to_m02_couplers_WREADY,xbar_to_m01_couplers_WREADY,xbar_to_m00_couplers_WREADY}), .m_axi_wstrb({xbar_to_m06_couplers_WSTRB,xbar_to_m05_couplers_WSTRB,xbar_to_m04_couplers_WSTRB,xbar_to_m03_couplers_WSTRB,xbar_to_m02_couplers_WSTRB,xbar_to_m01_couplers_WSTRB,xbar_to_m00_couplers_WSTRB}), .m_axi_wvalid({xbar_to_m06_couplers_WVALID,xbar_to_m05_couplers_WVALID,xbar_to_m04_couplers_WVALID,xbar_to_m03_couplers_WVALID,xbar_to_m02_couplers_WVALID,xbar_to_m01_couplers_WVALID,xbar_to_m00_couplers_WVALID}), .s_axi_araddr(s00_couplers_to_xbar_ARADDR), .s_axi_arprot(s00_couplers_to_xbar_ARPROT), .s_axi_arready(s00_couplers_to_xbar_ARREADY), .s_axi_arvalid(s00_couplers_to_xbar_ARVALID), .s_axi_awaddr(s00_couplers_to_xbar_AWADDR), .s_axi_awprot(s00_couplers_to_xbar_AWPROT), .s_axi_awready(s00_couplers_to_xbar_AWREADY), .s_axi_awvalid(s00_couplers_to_xbar_AWVALID), .s_axi_bready(s00_couplers_to_xbar_BREADY), .s_axi_bresp(s00_couplers_to_xbar_BRESP), .s_axi_bvalid(s00_couplers_to_xbar_BVALID), .s_axi_rdata(s00_couplers_to_xbar_RDATA), .s_axi_rready(s00_couplers_to_xbar_RREADY), .s_axi_rresp(s00_couplers_to_xbar_RRESP), .s_axi_rvalid(s00_couplers_to_xbar_RVALID), .s_axi_wdata(s00_couplers_to_xbar_WDATA), .s_axi_wready(s00_couplers_to_xbar_WREADY), .s_axi_wstrb(s00_couplers_to_xbar_WSTRB), .s_axi_wvalid(s00_couplers_to_xbar_WVALID)); endmodule module microblaze_0_local_memory_imp_Q2VUON (DLMB_abus, DLMB_addrstrobe, DLMB_be, DLMB_ce, DLMB_readdbus, DLMB_readstrobe, DLMB_ready, DLMB_ue, DLMB_wait, DLMB_writedbus, DLMB_writestrobe, ILMB_abus, ILMB_addrstrobe, ILMB_ce, ILMB_readdbus, ILMB_readstrobe, ILMB_ready, ILMB_ue, ILMB_wait, LMB_Clk, LMB_Rst); input [0:31]DLMB_abus; input DLMB_addrstrobe; input [0:3]DLMB_be; output DLMB_ce; output [0:31]DLMB_readdbus; input DLMB_readstrobe; output DLMB_ready; output DLMB_ue; output DLMB_wait; input [0:31]DLMB_writedbus; input DLMB_writestrobe; input [0:31]ILMB_abus; input ILMB_addrstrobe; output ILMB_ce; output [0:31]ILMB_readdbus; input ILMB_readstrobe; output ILMB_ready; output ILMB_ue; output ILMB_wait; input LMB_Clk; input [0:0]LMB_Rst; wire GND_1; wire microblaze_0_Clk; wire [0:0]microblaze_0_LMB_Rst; wire [0:31]microblaze_0_dlmb_ABUS; wire microblaze_0_dlmb_ADDRSTROBE; wire [0:3]microblaze_0_dlmb_BE; wire microblaze_0_dlmb_CE; wire [0:31]microblaze_0_dlmb_READDBUS; wire microblaze_0_dlmb_READSTROBE; wire microblaze_0_dlmb_READY; wire microblaze_0_dlmb_UE; wire microblaze_0_dlmb_WAIT; wire [0:31]microblaze_0_dlmb_WRITEDBUS; wire microblaze_0_dlmb_WRITESTROBE; wire [0:31]microblaze_0_dlmb_bus_ABUS; wire microblaze_0_dlmb_bus_ADDRSTROBE; wire [0:3]microblaze_0_dlmb_bus_BE; wire microblaze_0_dlmb_bus_CE; wire [0:31]microblaze_0_dlmb_bus_READDBUS; wire microblaze_0_dlmb_bus_READSTROBE; wire microblaze_0_dlmb_bus_READY; wire microblaze_0_dlmb_bus_UE; wire microblaze_0_dlmb_bus_WAIT; wire [0:31]microblaze_0_dlmb_bus_WRITEDBUS; wire microblaze_0_dlmb_bus_WRITESTROBE; wire [0:31]microblaze_0_dlmb_cntlr_ADDR; wire microblaze_0_dlmb_cntlr_CLK; wire [0:31]microblaze_0_dlmb_cntlr_DIN; wire [31:0]microblaze_0_dlmb_cntlr_DOUT; wire microblaze_0_dlmb_cntlr_EN; wire microblaze_0_dlmb_cntlr_RST; wire [0:3]microblaze_0_dlmb_cntlr_WE; wire [0:31]microblaze_0_ilmb_ABUS; wire microblaze_0_ilmb_ADDRSTROBE; wire microblaze_0_ilmb_CE; wire [0:31]microblaze_0_ilmb_READDBUS; wire microblaze_0_ilmb_READSTROBE; wire microblaze_0_ilmb_READY; wire microblaze_0_ilmb_UE; wire microblaze_0_ilmb_WAIT; wire [0:31]microblaze_0_ilmb_bus_ABUS; wire microblaze_0_ilmb_bus_ADDRSTROBE; wire [0:3]microblaze_0_ilmb_bus_BE; wire microblaze_0_ilmb_bus_CE; wire [0:31]microblaze_0_ilmb_bus_READDBUS; wire microblaze_0_ilmb_bus_READSTROBE; wire microblaze_0_ilmb_bus_READY; wire microblaze_0_ilmb_bus_UE; wire microblaze_0_ilmb_bus_WAIT; wire [0:31]microblaze_0_ilmb_bus_WRITEDBUS; wire microblaze_0_ilmb_bus_WRITESTROBE; wire [0:31]microblaze_0_ilmb_cntlr_ADDR; wire microblaze_0_ilmb_cntlr_CLK; wire [0:31]microblaze_0_ilmb_cntlr_DIN; wire [31:0]microblaze_0_ilmb_cntlr_DOUT; wire microblaze_0_ilmb_cntlr_EN; wire microblaze_0_ilmb_cntlr_RST; wire [0:3]microblaze_0_ilmb_cntlr_WE; assign DLMB_ce = microblaze_0_dlmb_CE; assign DLMB_readdbus[0:31] = microblaze_0_dlmb_READDBUS; assign DLMB_ready = microblaze_0_dlmb_READY; assign DLMB_ue = microblaze_0_dlmb_UE; assign DLMB_wait = microblaze_0_dlmb_WAIT; assign ILMB_ce = microblaze_0_ilmb_CE; assign ILMB_readdbus[0:31] = microblaze_0_ilmb_READDBUS; assign ILMB_ready = microblaze_0_ilmb_READY; assign ILMB_ue = microblaze_0_ilmb_UE; assign ILMB_wait = microblaze_0_ilmb_WAIT; assign microblaze_0_Clk = LMB_Clk; assign microblaze_0_LMB_Rst = LMB_Rst[0]; assign microblaze_0_dlmb_ABUS = DLMB_abus[0:31]; assign microblaze_0_dlmb_ADDRSTROBE = DLMB_addrstrobe; assign microblaze_0_dlmb_BE = DLMB_be[0:3]; assign microblaze_0_dlmb_READSTROBE = DLMB_readstrobe; assign microblaze_0_dlmb_WRITEDBUS = DLMB_writedbus[0:31]; assign microblaze_0_dlmb_WRITESTROBE = DLMB_writestrobe; assign microblaze_0_ilmb_ABUS = ILMB_abus[0:31]; assign microblaze_0_ilmb_ADDRSTROBE = ILMB_addrstrobe; assign microblaze_0_ilmb_READSTROBE = ILMB_readstrobe; GND GND (.G(GND_1)); (* BMM_INFO_ADDRESS_SPACE = "byte 0x0 32 > mbsys microblaze_0_local_memory/lmb_bram" *) (* KEEP_HIERARCHY = "yes" *) mbsys_dlmb_bram_if_cntlr_0 dlmb_bram_if_cntlr (.BRAM_Addr_A(microblaze_0_dlmb_cntlr_ADDR), .BRAM_Clk_A(microblaze_0_dlmb_cntlr_CLK), .BRAM_Din_A({microblaze_0_dlmb_cntlr_DOUT[31],microblaze_0_dlmb_cntlr_DOUT[30],microblaze_0_dlmb_cntlr_DOUT[29],microblaze_0_dlmb_cntlr_DOUT[28],microblaze_0_dlmb_cntlr_DOUT[27],microblaze_0_dlmb_cntlr_DOUT[26],microblaze_0_dlmb_cntlr_DOUT[25],microblaze_0_dlmb_cntlr_DOUT[24],microblaze_0_dlmb_cntlr_DOUT[23],microblaze_0_dlmb_cntlr_DOUT[22],microblaze_0_dlmb_cntlr_DOUT[21],microblaze_0_dlmb_cntlr_DOUT[20],microblaze_0_dlmb_cntlr_DOUT[19],microblaze_0_dlmb_cntlr_DOUT[18],microblaze_0_dlmb_cntlr_DOUT[17],microblaze_0_dlmb_cntlr_DOUT[16],microblaze_0_dlmb_cntlr_DOUT[15],microblaze_0_dlmb_cntlr_DOUT[14],microblaze_0_dlmb_cntlr_DOUT[13],microblaze_0_dlmb_cntlr_DOUT[12],microblaze_0_dlmb_cntlr_DOUT[11],microblaze_0_dlmb_cntlr_DOUT[10],microblaze_0_dlmb_cntlr_DOUT[9],microblaze_0_dlmb_cntlr_DOUT[8],microblaze_0_dlmb_cntlr_DOUT[7],microblaze_0_dlmb_cntlr_DOUT[6],microblaze_0_dlmb_cntlr_DOUT[5],microblaze_0_dlmb_cntlr_DOUT[4],microblaze_0_dlmb_cntlr_DOUT[3],microblaze_0_dlmb_cntlr_DOUT[2],microblaze_0_dlmb_cntlr_DOUT[1],microblaze_0_dlmb_cntlr_DOUT[0]}), .BRAM_Dout_A(microblaze_0_dlmb_cntlr_DIN), .BRAM_EN_A(microblaze_0_dlmb_cntlr_EN), .BRAM_Rst_A(microblaze_0_dlmb_cntlr_RST), .BRAM_WEN_A(microblaze_0_dlmb_cntlr_WE), .LMB_ABus(microblaze_0_dlmb_bus_ABUS), .LMB_AddrStrobe(microblaze_0_dlmb_bus_ADDRSTROBE), .LMB_BE(microblaze_0_dlmb_bus_BE), .LMB_Clk(microblaze_0_Clk), .LMB_ReadStrobe(microblaze_0_dlmb_bus_READSTROBE), .LMB_Rst(microblaze_0_LMB_Rst), .LMB_WriteDBus(microblaze_0_dlmb_bus_WRITEDBUS), .LMB_WriteStrobe(microblaze_0_dlmb_bus_WRITESTROBE), .Sl_CE(microblaze_0_dlmb_bus_CE), .Sl_DBus(microblaze_0_dlmb_bus_READDBUS), .Sl_Ready(microblaze_0_dlmb_bus_READY), .Sl_UE(microblaze_0_dlmb_bus_UE), .Sl_Wait(microblaze_0_dlmb_bus_WAIT)); mbsys_dlmb_v10_0 dlmb_v10 (.LMB_ABus(microblaze_0_dlmb_bus_ABUS), .LMB_AddrStrobe(microblaze_0_dlmb_bus_ADDRSTROBE), .LMB_BE(microblaze_0_dlmb_bus_BE), .LMB_CE(microblaze_0_dlmb_CE), .LMB_Clk(microblaze_0_Clk), .LMB_ReadDBus(microblaze_0_dlmb_READDBUS), .LMB_ReadStrobe(microblaze_0_dlmb_bus_READSTROBE), .LMB_Ready(microblaze_0_dlmb_READY), .LMB_UE(microblaze_0_dlmb_UE), .LMB_Wait(microblaze_0_dlmb_WAIT), .LMB_WriteDBus(microblaze_0_dlmb_bus_WRITEDBUS), .LMB_WriteStrobe(microblaze_0_dlmb_bus_WRITESTROBE), .M_ABus(microblaze_0_dlmb_ABUS), .M_AddrStrobe(microblaze_0_dlmb_ADDRSTROBE), .M_BE(microblaze_0_dlmb_BE), .M_DBus(microblaze_0_dlmb_WRITEDBUS), .M_ReadStrobe(microblaze_0_dlmb_READSTROBE), .M_WriteStrobe(microblaze_0_dlmb_WRITESTROBE), .SYS_Rst(microblaze_0_LMB_Rst), .Sl_CE(microblaze_0_dlmb_bus_CE), .Sl_DBus(microblaze_0_dlmb_bus_READDBUS), .Sl_Ready(microblaze_0_dlmb_bus_READY), .Sl_UE(microblaze_0_dlmb_bus_UE), .Sl_Wait(microblaze_0_dlmb_bus_WAIT)); mbsys_ilmb_bram_if_cntlr_0 ilmb_bram_if_cntlr (.BRAM_Addr_A(microblaze_0_ilmb_cntlr_ADDR), .BRAM_Clk_A(microblaze_0_ilmb_cntlr_CLK), .BRAM_Din_A({microblaze_0_ilmb_cntlr_DOUT[31],microblaze_0_ilmb_cntlr_DOUT[30],microblaze_0_ilmb_cntlr_DOUT[29],microblaze_0_ilmb_cntlr_DOUT[28],microblaze_0_ilmb_cntlr_DOUT[27],microblaze_0_ilmb_cntlr_DOUT[26],microblaze_0_ilmb_cntlr_DOUT[25],microblaze_0_ilmb_cntlr_DOUT[24],microblaze_0_ilmb_cntlr_DOUT[23],microblaze_0_ilmb_cntlr_DOUT[22],microblaze_0_ilmb_cntlr_DOUT[21],microblaze_0_ilmb_cntlr_DOUT[20],microblaze_0_ilmb_cntlr_DOUT[19],microblaze_0_ilmb_cntlr_DOUT[18],microblaze_0_ilmb_cntlr_DOUT[17],microblaze_0_ilmb_cntlr_DOUT[16],microblaze_0_ilmb_cntlr_DOUT[15],microblaze_0_ilmb_cntlr_DOUT[14],microblaze_0_ilmb_cntlr_DOUT[13],microblaze_0_ilmb_cntlr_DOUT[12],microblaze_0_ilmb_cntlr_DOUT[11],microblaze_0_ilmb_cntlr_DOUT[10],microblaze_0_ilmb_cntlr_DOUT[9],microblaze_0_ilmb_cntlr_DOUT[8],microblaze_0_ilmb_cntlr_DOUT[7],microblaze_0_ilmb_cntlr_DOUT[6],microblaze_0_ilmb_cntlr_DOUT[5],microblaze_0_ilmb_cntlr_DOUT[4],microblaze_0_ilmb_cntlr_DOUT[3],microblaze_0_ilmb_cntlr_DOUT[2],microblaze_0_ilmb_cntlr_DOUT[1],microblaze_0_ilmb_cntlr_DOUT[0]}), .BRAM_Dout_A(microblaze_0_ilmb_cntlr_DIN), .BRAM_EN_A(microblaze_0_ilmb_cntlr_EN), .BRAM_Rst_A(microblaze_0_ilmb_cntlr_RST), .BRAM_WEN_A(microblaze_0_ilmb_cntlr_WE), .LMB_ABus(microblaze_0_ilmb_bus_ABUS), .LMB_AddrStrobe(microblaze_0_ilmb_bus_ADDRSTROBE), .LMB_BE(microblaze_0_ilmb_bus_BE), .LMB_Clk(microblaze_0_Clk), .LMB_ReadStrobe(microblaze_0_ilmb_bus_READSTROBE), .LMB_Rst(microblaze_0_LMB_Rst), .LMB_WriteDBus(microblaze_0_ilmb_bus_WRITEDBUS), .LMB_WriteStrobe(microblaze_0_ilmb_bus_WRITESTROBE), .Sl_CE(microblaze_0_ilmb_bus_CE), .Sl_DBus(microblaze_0_ilmb_bus_READDBUS), .Sl_Ready(microblaze_0_ilmb_bus_READY), .Sl_UE(microblaze_0_ilmb_bus_UE), .Sl_Wait(microblaze_0_ilmb_bus_WAIT)); mbsys_ilmb_v10_0 ilmb_v10 (.LMB_ABus(microblaze_0_ilmb_bus_ABUS), .LMB_AddrStrobe(microblaze_0_ilmb_bus_ADDRSTROBE), .LMB_BE(microblaze_0_ilmb_bus_BE), .LMB_CE(microblaze_0_ilmb_CE), .LMB_Clk(microblaze_0_Clk), .LMB_ReadDBus(microblaze_0_ilmb_READDBUS), .LMB_ReadStrobe(microblaze_0_ilmb_bus_READSTROBE), .LMB_Ready(microblaze_0_ilmb_READY), .LMB_UE(microblaze_0_ilmb_UE), .LMB_Wait(microblaze_0_ilmb_WAIT), .LMB_WriteDBus(microblaze_0_ilmb_bus_WRITEDBUS), .LMB_WriteStrobe(microblaze_0_ilmb_bus_WRITESTROBE), .M_ABus(microblaze_0_ilmb_ABUS), .M_AddrStrobe(microblaze_0_ilmb_ADDRSTROBE), .M_BE({GND_1,GND_1,GND_1,GND_1}), .M_DBus({GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1,GND_1}), .M_ReadStrobe(microblaze_0_ilmb_READSTROBE), .M_WriteStrobe(GND_1), .SYS_Rst(microblaze_0_LMB_Rst), .Sl_CE(microblaze_0_ilmb_bus_CE), .Sl_DBus(microblaze_0_ilmb_bus_READDBUS), .Sl_Ready(microblaze_0_ilmb_bus_READY), .Sl_UE(microblaze_0_ilmb_bus_UE), .Sl_Wait(microblaze_0_ilmb_bus_WAIT)); mbsys_lmb_bram_0 lmb_bram (.addra({microblaze_0_dlmb_cntlr_ADDR[0],microblaze_0_dlmb_cntlr_ADDR[1],microblaze_0_dlmb_cntlr_ADDR[2],microblaze_0_dlmb_cntlr_ADDR[3],microblaze_0_dlmb_cntlr_ADDR[4],microblaze_0_dlmb_cntlr_ADDR[5],microblaze_0_dlmb_cntlr_ADDR[6],microblaze_0_dlmb_cntlr_ADDR[7],microblaze_0_dlmb_cntlr_ADDR[8],microblaze_0_dlmb_cntlr_ADDR[9],microblaze_0_dlmb_cntlr_ADDR[10],microblaze_0_dlmb_cntlr_ADDR[11],microblaze_0_dlmb_cntlr_ADDR[12],microblaze_0_dlmb_cntlr_ADDR[13],microblaze_0_dlmb_cntlr_ADDR[14],microblaze_0_dlmb_cntlr_ADDR[15],microblaze_0_dlmb_cntlr_ADDR[16],microblaze_0_dlmb_cntlr_ADDR[17],microblaze_0_dlmb_cntlr_ADDR[18],microblaze_0_dlmb_cntlr_ADDR[19],microblaze_0_dlmb_cntlr_ADDR[20],microblaze_0_dlmb_cntlr_ADDR[21],microblaze_0_dlmb_cntlr_ADDR[22],microblaze_0_dlmb_cntlr_ADDR[23],microblaze_0_dlmb_cntlr_ADDR[24],microblaze_0_dlmb_cntlr_ADDR[25],microblaze_0_dlmb_cntlr_ADDR[26],microblaze_0_dlmb_cntlr_ADDR[27],microblaze_0_dlmb_cntlr_ADDR[28],microblaze_0_dlmb_cntlr_ADDR[29],microblaze_0_dlmb_cntlr_ADDR[30],microblaze_0_dlmb_cntlr_ADDR[31]}), .addrb({microblaze_0_ilmb_cntlr_ADDR[0],microblaze_0_ilmb_cntlr_ADDR[1],microblaze_0_ilmb_cntlr_ADDR[2],microblaze_0_ilmb_cntlr_ADDR[3],microblaze_0_ilmb_cntlr_ADDR[4],microblaze_0_ilmb_cntlr_ADDR[5],microblaze_0_ilmb_cntlr_ADDR[6],microblaze_0_ilmb_cntlr_ADDR[7],microblaze_0_ilmb_cntlr_ADDR[8],microblaze_0_ilmb_cntlr_ADDR[9],microblaze_0_ilmb_cntlr_ADDR[10],microblaze_0_ilmb_cntlr_ADDR[11],microblaze_0_ilmb_cntlr_ADDR[12],microblaze_0_ilmb_cntlr_ADDR[13],microblaze_0_ilmb_cntlr_ADDR[14],microblaze_0_ilmb_cntlr_ADDR[15],microblaze_0_ilmb_cntlr_ADDR[16],microblaze_0_ilmb_cntlr_ADDR[17],microblaze_0_ilmb_cntlr_ADDR[18],microblaze_0_ilmb_cntlr_ADDR[19],microblaze_0_ilmb_cntlr_ADDR[20],microblaze_0_ilmb_cntlr_ADDR[21],microblaze_0_ilmb_cntlr_ADDR[22],microblaze_0_ilmb_cntlr_ADDR[23],microblaze_0_ilmb_cntlr_ADDR[24],microblaze_0_ilmb_cntlr_ADDR[25],microblaze_0_ilmb_cntlr_ADDR[26],microblaze_0_ilmb_cntlr_ADDR[27],microblaze_0_ilmb_cntlr_ADDR[28],microblaze_0_ilmb_cntlr_ADDR[29],microblaze_0_ilmb_cntlr_ADDR[30],microblaze_0_ilmb_cntlr_ADDR[31]}), .clka(microblaze_0_dlmb_cntlr_CLK), .clkb(microblaze_0_ilmb_cntlr_CLK), .dina({microblaze_0_dlmb_cntlr_DIN[0],microblaze_0_dlmb_cntlr_DIN[1],microblaze_0_dlmb_cntlr_DIN[2],microblaze_0_dlmb_cntlr_DIN[3],microblaze_0_dlmb_cntlr_DIN[4],microblaze_0_dlmb_cntlr_DIN[5],microblaze_0_dlmb_cntlr_DIN[6],microblaze_0_dlmb_cntlr_DIN[7],microblaze_0_dlmb_cntlr_DIN[8],microblaze_0_dlmb_cntlr_DIN[9],microblaze_0_dlmb_cntlr_DIN[10],microblaze_0_dlmb_cntlr_DIN[11],microblaze_0_dlmb_cntlr_DIN[12],microblaze_0_dlmb_cntlr_DIN[13],microblaze_0_dlmb_cntlr_DIN[14],microblaze_0_dlmb_cntlr_DIN[15],microblaze_0_dlmb_cntlr_DIN[16],microblaze_0_dlmb_cntlr_DIN[17],microblaze_0_dlmb_cntlr_DIN[18],microblaze_0_dlmb_cntlr_DIN[19],microblaze_0_dlmb_cntlr_DIN[20],microblaze_0_dlmb_cntlr_DIN[21],microblaze_0_dlmb_cntlr_DIN[22],microblaze_0_dlmb_cntlr_DIN[23],microblaze_0_dlmb_cntlr_DIN[24],microblaze_0_dlmb_cntlr_DIN[25],microblaze_0_dlmb_cntlr_DIN[26],microblaze_0_dlmb_cntlr_DIN[27],microblaze_0_dlmb_cntlr_DIN[28],microblaze_0_dlmb_cntlr_DIN[29],microblaze_0_dlmb_cntlr_DIN[30],microblaze_0_dlmb_cntlr_DIN[31]}), .dinb({microblaze_0_ilmb_cntlr_DIN[0],microblaze_0_ilmb_cntlr_DIN[1],microblaze_0_ilmb_cntlr_DIN[2],microblaze_0_ilmb_cntlr_DIN[3],microblaze_0_ilmb_cntlr_DIN[4],microblaze_0_ilmb_cntlr_DIN[5],microblaze_0_ilmb_cntlr_DIN[6],microblaze_0_ilmb_cntlr_DIN[7],microblaze_0_ilmb_cntlr_DIN[8],microblaze_0_ilmb_cntlr_DIN[9],microblaze_0_ilmb_cntlr_DIN[10],microblaze_0_ilmb_cntlr_DIN[11],microblaze_0_ilmb_cntlr_DIN[12],microblaze_0_ilmb_cntlr_DIN[13],microblaze_0_ilmb_cntlr_DIN[14],microblaze_0_ilmb_cntlr_DIN[15],microblaze_0_ilmb_cntlr_DIN[16],microblaze_0_ilmb_cntlr_DIN[17],microblaze_0_ilmb_cntlr_DIN[18],microblaze_0_ilmb_cntlr_DIN[19],microblaze_0_ilmb_cntlr_DIN[20],microblaze_0_ilmb_cntlr_DIN[21],microblaze_0_ilmb_cntlr_DIN[22],microblaze_0_ilmb_cntlr_DIN[23],microblaze_0_ilmb_cntlr_DIN[24],microblaze_0_ilmb_cntlr_DIN[25],microblaze_0_ilmb_cntlr_DIN[26],microblaze_0_ilmb_cntlr_DIN[27],microblaze_0_ilmb_cntlr_DIN[28],microblaze_0_ilmb_cntlr_DIN[29],microblaze_0_ilmb_cntlr_DIN[30],microblaze_0_ilmb_cntlr_DIN[31]}), .douta(microblaze_0_dlmb_cntlr_DOUT), .doutb(microblaze_0_ilmb_cntlr_DOUT), .ena(microblaze_0_dlmb_cntlr_EN), .enb(microblaze_0_ilmb_cntlr_EN), .rsta(microblaze_0_dlmb_cntlr_RST), .rstb(microblaze_0_ilmb_cntlr_RST), .wea({microblaze_0_dlmb_cntlr_WE[0],microblaze_0_dlmb_cntlr_WE[1],microblaze_0_dlmb_cntlr_WE[2],microblaze_0_dlmb_cntlr_WE[3]}), .web({microblaze_0_ilmb_cntlr_WE[0],microblaze_0_ilmb_cntlr_WE[1],microblaze_0_ilmb_cntlr_WE[2],microblaze_0_ilmb_cntlr_WE[3]})); endmodule module s00_couplers_imp_1BT5UVI (M_ACLK, M_ARESETN, M_AXI_araddr, M_AXI_arprot, M_AXI_arready, M_AXI_arvalid, M_AXI_awaddr, M_AXI_awprot, M_AXI_awready, M_AXI_awvalid, M_AXI_bready, M_AXI_bresp, M_AXI_bvalid, M_AXI_rdata, M_AXI_rready, M_AXI_rresp, M_AXI_rvalid, M_AXI_wdata, M_AXI_wready, M_AXI_wstrb, M_AXI_wvalid, S_ACLK, S_ARESETN, S_AXI_araddr, S_AXI_arprot, S_AXI_arready, S_AXI_arvalid, S_AXI_awaddr, S_AXI_awprot, S_AXI_awready, S_AXI_awvalid, S_AXI_bready, S_AXI_bresp, S_AXI_bvalid, S_AXI_rdata, S_AXI_rready, S_AXI_rresp, S_AXI_rvalid, S_AXI_wdata, S_AXI_wready, S_AXI_wstrb, S_AXI_wvalid); input M_ACLK; input [0:0]M_ARESETN; output [31:0]M_AXI_araddr; output [2:0]M_AXI_arprot; input [0:0]M_AXI_arready; output [0:0]M_AXI_arvalid; output [31:0]M_AXI_awaddr; output [2:0]M_AXI_awprot; input [0:0]M_AXI_awready; output [0:0]M_AXI_awvalid; output [0:0]M_AXI_bready; input [1:0]M_AXI_bresp; input [0:0]M_AXI_bvalid; input [31:0]M_AXI_rdata; output [0:0]M_AXI_rready; input [1:0]M_AXI_rresp; input [0:0]M_AXI_rvalid; output [31:0]M_AXI_wdata; input [0:0]M_AXI_wready; output [3:0]M_AXI_wstrb; output [0:0]M_AXI_wvalid; input S_ACLK; input [0:0]S_ARESETN; input [31:0]S_AXI_araddr; input [2:0]S_AXI_arprot; output [0:0]S_AXI_arready; input [0:0]S_AXI_arvalid; input [31:0]S_AXI_awaddr; input [2:0]S_AXI_awprot; output [0:0]S_AXI_awready; input [0:0]S_AXI_awvalid; input [0:0]S_AXI_bready; output [1:0]S_AXI_bresp; output [0:0]S_AXI_bvalid; output [31:0]S_AXI_rdata; input [0:0]S_AXI_rready; output [1:0]S_AXI_rresp; output [0:0]S_AXI_rvalid; input [31:0]S_AXI_wdata; output [0:0]S_AXI_wready; input [3:0]S_AXI_wstrb; input [0:0]S_AXI_wvalid; wire [31:0]s00_couplers_to_s00_couplers_ARADDR; wire [2:0]s00_couplers_to_s00_couplers_ARPROT; wire [0:0]s00_couplers_to_s00_couplers_ARREADY; wire [0:0]s00_couplers_to_s00_couplers_ARVALID; wire [31:0]s00_couplers_to_s00_couplers_AWADDR; wire [2:0]s00_couplers_to_s00_couplers_AWPROT; wire [0:0]s00_couplers_to_s00_couplers_AWREADY; wire [0:0]s00_couplers_to_s00_couplers_AWVALID; wire [0:0]s00_couplers_to_s00_couplers_BREADY; wire [1:0]s00_couplers_to_s00_couplers_BRESP; wire [0:0]s00_couplers_to_s00_couplers_BVALID; wire [31:0]s00_couplers_to_s00_couplers_RDATA; wire [0:0]s00_couplers_to_s00_couplers_RREADY; wire [1:0]s00_couplers_to_s00_couplers_RRESP; wire [0:0]s00_couplers_to_s00_couplers_RVALID; wire [31:0]s00_couplers_to_s00_couplers_WDATA; wire [0:0]s00_couplers_to_s00_couplers_WREADY; wire [3:0]s00_couplers_to_s00_couplers_WSTRB; wire [0:0]s00_couplers_to_s00_couplers_WVALID; assign M_AXI_araddr[31:0] = s00_couplers_to_s00_couplers_ARADDR; assign M_AXI_arprot[2:0] = s00_couplers_to_s00_couplers_ARPROT; assign M_AXI_arvalid[0] = s00_couplers_to_s00_couplers_ARVALID; assign M_AXI_awaddr[31:0] = s00_couplers_to_s00_couplers_AWADDR; assign M_AXI_awprot[2:0] = s00_couplers_to_s00_couplers_AWPROT; assign M_AXI_awvalid[0] = s00_couplers_to_s00_couplers_AWVALID; assign M_AXI_bready[0] = s00_couplers_to_s00_couplers_BREADY; assign M_AXI_rready[0] = s00_couplers_to_s00_couplers_RREADY; assign M_AXI_wdata[31:0] = s00_couplers_to_s00_couplers_WDATA; assign M_AXI_wstrb[3:0] = s00_couplers_to_s00_couplers_WSTRB; assign M_AXI_wvalid[0] = s00_couplers_to_s00_couplers_WVALID; assign S_AXI_arready[0] = s00_couplers_to_s00_couplers_ARREADY; assign S_AXI_awready[0] = s00_couplers_to_s00_couplers_AWREADY; assign S_AXI_bresp[1:0] = s00_couplers_to_s00_couplers_BRESP; assign S_AXI_bvalid[0] = s00_couplers_to_s00_couplers_BVALID; assign S_AXI_rdata[31:0] = s00_couplers_to_s00_couplers_RDATA; assign S_AXI_rresp[1:0] = s00_couplers_to_s00_couplers_RRESP; assign S_AXI_rvalid[0] = s00_couplers_to_s00_couplers_RVALID; assign S_AXI_wready[0] = s00_couplers_to_s00_couplers_WREADY; assign s00_couplers_to_s00_couplers_ARADDR = S_AXI_araddr[31:0]; assign s00_couplers_to_s00_couplers_ARPROT = S_AXI_arprot[2:0]; assign s00_couplers_to_s00_couplers_ARREADY = M_AXI_arready[0]; assign s00_couplers_to_s00_couplers_ARVALID = S_AXI_arvalid[0]; assign s00_couplers_to_s00_couplers_AWADDR = S_AXI_awaddr[31:0]; assign s00_couplers_to_s00_couplers_AWPROT = S_AXI_awprot[2:0]; assign s00_couplers_to_s00_couplers_AWREADY = M_AXI_awready[0]; assign s00_couplers_to_s00_couplers_AWVALID = S_AXI_awvalid[0]; assign s00_couplers_to_s00_couplers_BREADY = S_AXI_bready[0]; assign s00_couplers_to_s00_couplers_BRESP = M_AXI_bresp[1:0]; assign s00_couplers_to_s00_couplers_BVALID = M_AXI_bvalid[0]; assign s00_couplers_to_s00_couplers_RDATA = M_AXI_rdata[31:0]; assign s00_couplers_to_s00_couplers_RREADY = S_AXI_rready[0]; assign s00_couplers_to_s00_couplers_RRESP = M_AXI_rresp[1:0]; assign s00_couplers_to_s00_couplers_RVALID = M_AXI_rvalid[0]; assign s00_couplers_to_s00_couplers_WDATA = S_AXI_wdata[31:0]; assign s00_couplers_to_s00_couplers_WREADY = M_AXI_wready[0]; assign s00_couplers_to_s00_couplers_WSTRB = S_AXI_wstrb[3:0]; assign s00_couplers_to_s00_couplers_WVALID = S_AXI_wvalid[0]; endmodule