*** Running vivado with args -log toplevel.vds -m64 -mode batch -messageDb vivado.pb -source toplevel.tcl ****** Vivado v2014.4.1 (64-bit) **** SW Build 1149489 on Thu Feb 19 16:20:35 MST 2015 **** IP Build 1147552 on Wed Feb 18 14:25:16 MST 2015 ** Copyright 1986-2014 Xilinx, Inc. All Rights Reserved. source toplevel.tcl # set_param gui.test TreeTableDev # debug::add_scope template.lib 1 # set_msg_config -id {HDL 9-1061} -limit 100000 # set_msg_config -id {HDL 9-1654} -limit 100000 # create_project -in_memory -part xc7a200tfbg484-2 # set_param project.compositeFile.enableAutoGeneration 0 # set_param synth.vivado.isSynthRun true # set_msg_config -id {IP_Flow 19-2162} -severity warning -new_severity info # set_property webtalk.parent_dir C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.cache/wt [current_project] # set_property parent.project_path C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.xpr [current_project] # set_property default_lib xil_defaultlib [current_project] # set_property target_language VHDL [current_project] # add_files -quiet C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/ila_0_synth_1/ila_0.dcp # set_property used_in_implementation false [get_files C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/ila_0_synth_1/ila_0.dcp] # add_files -quiet C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp # set_property used_in_implementation false [get_files C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/clk_wiz_0_synth_1/clk_wiz_0.dcp] # add_files C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/mbsys.bd INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2014.4/data/ip'. add_files: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 258.285 ; gain = 63.457 # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_microblaze_0_0/mbsys_microblaze_0_0.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_microblaze_0_0/mbsys_microblaze_0_0_ooc.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_dlmb_v10_0/mbsys_dlmb_v10_0.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_dlmb_v10_0/mbsys_dlmb_v10_0_ooc.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_ilmb_v10_0/mbsys_ilmb_v10_0.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_ilmb_v10_0/mbsys_ilmb_v10_0_ooc.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_dlmb_bram_if_cntlr_0/mbsys_dlmb_bram_if_cntlr_0_ooc.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_ilmb_bram_if_cntlr_0/mbsys_ilmb_bram_if_cntlr_0_ooc.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_lmb_bram_0/mbsys_lmb_bram_0_ooc.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_microblaze_0_axi_intc_0/mbsys_microblaze_0_axi_intc_0.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_microblaze_0_axi_intc_0/mbsys_microblaze_0_axi_intc_0_clocks.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_microblaze_0_axi_intc_0/mbsys_microblaze_0_axi_intc_0_ooc.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_mdm_1_0/mbsys_mdm_1_0.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_mdm_1_0/mbsys_mdm_1_0_ooc.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_clk_wiz_1_0/mbsys_clk_wiz_1_0_board.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_clk_wiz_1_0/mbsys_clk_wiz_1_0.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_clk_wiz_1_0/mbsys_clk_wiz_1_0_ooc.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_rst_clk_wiz_1_100M_0/mbsys_rst_clk_wiz_1_100M_0_board.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_rst_clk_wiz_1_100M_0/mbsys_rst_clk_wiz_1_100M_0.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_rst_clk_wiz_1_100M_0/mbsys_rst_clk_wiz_1_100M_0_ooc.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_timer_0_0/mbsys_axi_timer_0_0.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_timer_0_0/mbsys_axi_timer_0_0_ooc.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_xbar_0/mbsys_xbar_0_ooc.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/bd_0_eth_buf_0_board.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/synth/bd_0_eth_buf_0.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/synth/bd_0_eth_buf_0_ooc.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/bd_0_eth_mac_0_board.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/bd_0_eth_mac_0.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/bd_0_eth_mac_0_ooc.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/bd_0_eth_mac_0_clocks.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/bd_0_pcs_pma_0_board.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0_ooc.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/bd_0_ooc.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/synth/mbsys_axi_ethernet_0_0_ooc.xdc] # set_property used_in_implementation false [get_files -all c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_fifo_0/mbsys_axi_ethernet_0_fifo_0_ooc.xdc] # set_property used_in_implementation false [get_files -all C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/mbsys_ooc.xdc] # set_property is_locked true [get_files C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/mbsys.bd] # read_vhdl -library xil_defaultlib { # C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/new/my_led.vhd # C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/new/my_ckbc_ena.vhd # C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/hdl/toplevel.vhd # } # read_xdc C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/constrs_1/imports/new/toplevel.xdc # set_property used_in_implementation false [get_files C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/constrs_1/imports/new/toplevel.xdc] # read_xdc dont_touch.xdc # set_property used_in_implementation false [get_files dont_touch.xdc] # catch { write_hwdef -file toplevel.hwdef } # synth_design -top toplevel -part xc7a200tfbg484-2 Command: synth_design -top toplevel -part xc7a200tfbg484-2 Starting synth_design Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t' INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t' WARNING: [Synth 8-2507] parameter declaration becomes local in tri_mode_ethernet_mac_v8_3_ipic_mux with formal parameter declaration list [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:14297] WARNING: [Synth 8-2507] parameter declaration becomes local in tri_mode_ethernet_mac_v8_3_ipic_mux with formal parameter declaration list [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:14298] WARNING: [Synth 8-2507] parameter declaration becomes local in tri_mode_ethernet_mac_v8_3_ipic_mux with formal parameter declaration list [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:14299] WARNING: [Synth 8-2507] parameter declaration becomes local in tri_mode_ethernet_mac_v8_3_ipic_mux with formal parameter declaration list [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:14300] WARNING: [Synth 8-2507] parameter declaration becomes local in tri_mode_ethernet_mac_v8_3_ipic_mux with formal parameter declaration list [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:14301] WARNING: [Synth 8-2507] parameter declaration becomes local in tri_mode_ethernet_mac_v8_3_ipic_mux with formal parameter declaration list [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:14302] WARNING: [Synth 8-2507] parameter declaration becomes local in tri_mode_ethernet_mac_v8_3_ipic_mux with formal parameter declaration list [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:14303] WARNING: [Synth 8-2507] parameter declaration becomes local in tri_mode_ethernet_mac_v8_3_ipic_mux with formal parameter declaration list [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:14304] --------------------------------------------------------------------------------- Starting RTL Elaboration : Time (s): cpu = 00:02:40 ; elapsed = 00:02:42 . Memory (MB): peak = 325.871 ; gain = 163.090 --------------------------------------------------------------------------------- WARNING: [Synth 8-2048] function get_addr_bits does not always return a value [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/axi_ipif/bd_0_eth_mac_0_slave_attachment.vhd:243] INFO: [Synth 8-638] synthesizing module 'toplevel' [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/hdl/toplevel.vhd:66] INFO: [Synth 8-4472] Detected and applied attribute dont_touch = true [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/hdl/toplevel.vhd:194] INFO: [Synth 8-4472] Detected and applied attribute dont_touch = true [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/hdl/toplevel.vhd:195] INFO: [Synth 8-4472] Detected and applied attribute dont_touch = true [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/hdl/toplevel.vhd:196] INFO: [Synth 8-4472] Detected and applied attribute dont_touch = true [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/hdl/toplevel.vhd:197] INFO: [Synth 8-4472] Detected and applied attribute dont_touch = true [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/hdl/toplevel.vhd:199] INFO: [Synth 8-4472] Detected and applied attribute dont_touch = true [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/hdl/toplevel.vhd:200] INFO: [Synth 8-4472] Detected and applied attribute dont_touch = true [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/hdl/toplevel.vhd:201] INFO: [Synth 8-4472] Detected and applied attribute dont_touch = true [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/hdl/toplevel.vhd:202] INFO: [Synth 8-4472] Detected and applied attribute dont_touch = true [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/hdl/toplevel.vhd:204] INFO: [Synth 8-4472] Detected and applied attribute dont_touch = true [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/hdl/toplevel.vhd:205] INFO: [Synth 8-4472] Detected and applied attribute dont_touch = true [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/hdl/toplevel.vhd:206] INFO: [Synth 8-4472] Detected and applied attribute dont_touch = true [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/hdl/toplevel.vhd:209] INFO: [Synth 8-4472] Detected and applied attribute dont_touch = true [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/hdl/toplevel.vhd:210] INFO: [Synth 8-4472] Detected and applied attribute dont_touch = true [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/hdl/toplevel.vhd:211] INFO: [Synth 8-4472] Detected and applied attribute dont_touch = true [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/hdl/toplevel.vhd:212] INFO: [Synth 8-3491] module 'mbsys' declared at 'C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:2355' bound to instance 'mbsys_i' of component 'mbsys' [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/hdl/toplevel.vhd:260] INFO: [Synth 8-638] synthesizing module 'mbsys' [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:2394] INFO: [Synth 8-113] binding component instance 'GND' to cell 'GND' [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:2952] INFO: [Synth 8-113] binding component instance 'VCC' to cell 'VCC' [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:2956] INFO: [Synth 8-3491] module 'mbsys_axi_ethernet_0_0' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/synth/mbsys_axi_ethernet_0_0.vhd:56' bound to instance 'axi_ethernet_0' of component 'mbsys_axi_ethernet_0_0' [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:2960] INFO: [Synth 8-638] synthesizing module 'mbsys_axi_ethernet_0_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/synth/mbsys_axi_ethernet_0_0.vhd:133] INFO: [Synth 8-3491] module 'bd_0' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/hdl/bd_0.vhd:14' bound to instance 'U0' of component 'bd_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/synth/mbsys_axi_ethernet_0_0.vhd:289] INFO: [Synth 8-638] synthesizing module 'bd_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/hdl/bd_0.vhd:91] INFO: [Synth 8-113] binding component instance 'GND' to cell 'GND' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/hdl/bd_0.vhd:524] INFO: [Synth 8-113] binding component instance 'VCC' to cell 'VCC' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/hdl/bd_0.vhd:528] INFO: [Synth 8-3491] module 'bd_0_eth_buf_0' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/synth/bd_0_eth_buf_0.vhd:59' bound to instance 'eth_buf' of component 'bd_0_eth_buf_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/hdl/bd_0.vhd:532] INFO: [Synth 8-638] synthesizing module 'bd_0_eth_buf_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/synth/bd_0_eth_buf_0.vhd:166] INFO: [Synth 8-3491] module 'axi_ethernet_buffer_v2_0' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:31731' bound to instance 'U0' of component 'axi_ethernet_buffer_v2_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/synth/bd_0_eth_buf_0.vhd:387] INFO: [Synth 8-638] synthesizing module 'axi_ethernet_buffer_v2_0__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:31913] INFO: [Synth 8-3491] module 'actv_hi_pulse_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:1151' bound to instance 'RXCLCLK2AXICLK_INTRPT0' of component 'actv_hi_pulse_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32177] INFO: [Synth 8-638] synthesizing module 'actv_hi_pulse_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:1163] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'data_sync' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:1206] INFO: [Synth 8-638] synthesizing module 'axi_ethernet_buffer_v2_0_sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:285] INFO: [Synth 8-113] binding component instance 'data_sync0_i' to cell 'FDRE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:321] INFO: [Synth 8-113] binding component instance 'data_sync1_i' to cell 'FDRE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:333] INFO: [Synth 8-113] binding component instance 'data_sync2_i' to cell 'FDRE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:345] INFO: [Synth 8-113] binding component instance 'data_sync3_i' to cell 'FDRE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:357] INFO: [Synth 8-113] binding component instance 'data_sync4_i' to cell 'FDRE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:369] INFO: [Synth 8-113] binding component instance 'data_sync5_i' to cell 'FDRE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:381] INFO: [Synth 8-113] binding component instance 'data_sync6_i' to cell 'FDRE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:393] INFO: [Synth 8-113] binding component instance 'data_sync7_i' to cell 'FDRE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:405] INFO: [Synth 8-256] done synthesizing module 'axi_ethernet_buffer_v2_0_sync_block' (1#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:285] INFO: [Synth 8-256] done synthesizing module 'actv_hi_pulse_clk_cross' (2#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:1163] INFO: [Synth 8-3491] module 'actv_hi_pulse_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:1151' bound to instance 'RXCLCLK2AXICLK_INTRPT1' of component 'actv_hi_pulse_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32187] INFO: [Synth 8-3491] module 'actv_hi_pulse_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:1151' bound to instance 'RXCLCLK2AXICLK_INTRPT2' of component 'actv_hi_pulse_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32197] INFO: [Synth 8-3491] module 'reset_combiner' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:11036' bound to instance 'COMBINE_RESETS' of component 'reset_combiner' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32208] INFO: [Synth 8-638] synthesizing module 'reset_combiner__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:11069] INFO: [Synth 8-3491] module 'actv_hi_reset_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:677' bound to instance 'AXI_RESET_TO_RXCLIENT' of component 'actv_hi_reset_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:11271] INFO: [Synth 8-638] synthesizing module 'actv_hi_reset_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:692] INFO: [Synth 8-3491] module 'sync_reset' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:486' bound to instance 'ClkA_reset_inst' of component 'sync_reset' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:702] INFO: [Synth 8-638] synthesizing module 'sync_reset__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:503] INFO: [Synth 8-4472] Detected and applied attribute shreg_extract = no [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:504] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:504] INFO: [Synth 8-4472] Detected and applied attribute shreg_extract = no [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:505] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:505] INFO: [Synth 8-4472] Detected and applied attribute shreg_extract = no [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:506] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:506] INFO: [Synth 8-4472] Detected and applied attribute shreg_extract = no [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:507] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:507] INFO: [Synth 8-4472] Detected and applied attribute shreg_extract = no [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:508] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:508] INFO: [Synth 8-4472] Detected and applied attribute shreg_extract = no [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:509] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:509] INFO: [Synth 8-4472] Detected and applied attribute shreg_extract = no [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:510] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:510] INFO: [Synth 8-256] done synthesizing module 'sync_reset__parameterized0' (3#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:503] INFO: [Synth 8-3491] module 'sync_reset' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:486' bound to instance 'ClkB_reset_inst' of component 'sync_reset' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:714] INFO: [Synth 8-256] done synthesizing module 'actv_hi_reset_clk_cross' (4#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:692] INFO: [Synth 8-3491] module 'actv_hi_reset_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:677' bound to instance 'AXI_RESET_TO_TXCLIENT' of component 'actv_hi_reset_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:11285] INFO: [Synth 8-3491] module 'actv_hi_reset_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:677' bound to instance 'AXI_RESET_TO_GTX' of component 'actv_hi_reset_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:11299] INFO: [Synth 8-3491] module 'actv_hi_reset_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:677' bound to instance 'AXI_RESET_TO_TXD_AXSTREAM' of component 'actv_hi_reset_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:11316] INFO: [Synth 8-3491] module 'actv_hi_reset_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:677' bound to instance 'AXI_RESET_TO_TXC_AXSTREAM' of component 'actv_hi_reset_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:11330] INFO: [Synth 8-3491] module 'actv_hi_reset_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:677' bound to instance 'AXI_RESET_TO_RXD_AXSTREAM' of component 'actv_hi_reset_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:11344] INFO: [Synth 8-3491] module 'actv_hi_reset_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:677' bound to instance 'AXI_RESET_TO_RXS_AXSTREAM' of component 'actv_hi_reset_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:11358] INFO: [Synth 8-3491] module 'actv_hi_reset_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:677' bound to instance 'TXD_AXSTREAM_TO_TXC_AXSTREAM' of component 'actv_hi_reset_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:11375] INFO: [Synth 8-3491] module 'actv_hi_reset_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:677' bound to instance 'TXD_AXSTREAM_TO_RXD_AXSTREAM' of component 'actv_hi_reset_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:11388] INFO: [Synth 8-3491] module 'actv_hi_reset_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:677' bound to instance 'TXD_AXSTREAM_TO_RXS_AXSTREAM' of component 'actv_hi_reset_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:11401] INFO: [Synth 8-3491] module 'actv_hi_reset_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:677' bound to instance 'TXC_AXSTREAM_TO_RXD_AXSTREAM' of component 'actv_hi_reset_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:11417] INFO: [Synth 8-3491] module 'actv_hi_reset_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:677' bound to instance 'TXC_AXSTREAM_TO_RXS_AXSTREAM' of component 'actv_hi_reset_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:11430] INFO: [Synth 8-3491] module 'actv_hi_reset_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:677' bound to instance 'RXD_AXSTREAM_TO_RXS_AXSTREAM' of component 'actv_hi_reset_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:11446] INFO: [Synth 8-256] done synthesizing module 'reset_combiner__parameterized0' (5#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:11069] INFO: [Synth 8-3491] module 'registers' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:30165' bound to instance 'I_REGISTERS' of component 'registers' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32265] INFO: [Synth 8-638] synthesizing module 'registers__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:30222] INFO: [Synth 8-3491] module 'reg_cr' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:10503' bound to instance 'CR_I' of component 'reg_cr' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:30432] INFO: [Synth 8-638] synthesizing module 'reg_cr' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:10520] INFO: [Synth 8-256] done synthesizing module 'reg_cr' (6#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:10520] INFO: [Synth 8-3491] module 'reg_tp' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:9691' bound to instance 'TP_I' of component 'reg_tp' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:30445] INFO: [Synth 8-638] synthesizing module 'reg_tp' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:9708] INFO: [Synth 8-256] done synthesizing module 'reg_tp' (7#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:9708] INFO: [Synth 8-3491] module 'reg_ifgp' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:10183' bound to instance 'IFGP_I' of component 'reg_ifgp' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:30458] INFO: [Synth 8-638] synthesizing module 'reg_ifgp' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:10199] INFO: [Synth 8-256] done synthesizing module 'reg_ifgp' (8#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:10199] INFO: [Synth 8-3491] module 'reg_is' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:9858' bound to instance 'IS_I' of component 'reg_is' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:30470] INFO: [Synth 8-638] synthesizing module 'reg_is' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:9875] INFO: [Synth 8-256] done synthesizing module 'reg_is' (9#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:9875] INFO: [Synth 8-3491] module 'reg_ip' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:10021' bound to instance 'IP_I' of component 'reg_ip' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:30483] INFO: [Synth 8-638] synthesizing module 'reg_ip' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:10038] INFO: [Synth 8-256] done synthesizing module 'reg_ip' (10#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:10038] INFO: [Synth 8-3491] module 'reg_ie' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:10343' bound to instance 'IE_I' of component 'reg_ie' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:30496] INFO: [Synth 8-638] synthesizing module 'reg_ie' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:10359] INFO: [Synth 8-256] done synthesizing module 'reg_ie' (11#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:10359] INFO: [Synth 8-3491] module 'reg_32b' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:10677' bound to instance 'TTAG_I' of component 'reg_32b' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:30508] INFO: [Synth 8-638] synthesizing module 'reg_32b' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:10694] INFO: [Synth 8-256] done synthesizing module 'reg_32b' (12#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:10694] INFO: [Synth 8-3491] module 'reg_32b' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:10677' bound to instance 'RTAG_I' of component 'reg_32b' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:30520] INFO: [Synth 8-3491] module 'reg_32b' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:10677' bound to instance 'UAWL_I' of component 'reg_32b' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:30532] INFO: [Synth 8-3491] module 'reg_16bl' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:10845' bound to instance 'UAWU_I' of component 'reg_16bl' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:30544] INFO: [Synth 8-638] synthesizing module 'reg_16bl' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:10862] INFO: [Synth 8-256] done synthesizing module 'reg_16bl' (13#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:10862] INFO: [Synth 8-3491] module 'reg_32b' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:10677' bound to instance 'TPID0_I' of component 'reg_32b' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:30556] INFO: [Synth 8-3491] module 'reg_32b' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:10677' bound to instance 'TPID1_I' of component 'reg_32b' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:30568] INFO: [Synth 8-256] done synthesizing module 'registers__parameterized0' (14#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:30222] INFO: [Synth 8-638] synthesizing module 'axi_lite_ipif' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/axi_lite_ipif.vhd:249] INFO: [Synth 8-638] synthesizing module 'slave_attachment' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/slave_attachment.vhd:239] INFO: [Synth 8-638] synthesizing module 'address_decoder' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/address_decoder.vhd:190] INFO: [Synth 8-256] done synthesizing module 'address_decoder' (15#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/address_decoder.vhd:190] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/slave_attachment.vhd:445] INFO: [Synth 8-4512] found unpartitioned construct node [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/slave_attachment.vhd:416] INFO: [Synth 8-256] done synthesizing module 'slave_attachment' (16#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/slave_attachment.vhd:239] INFO: [Synth 8-256] done synthesizing module 'axi_lite_ipif' (17#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/axi_lite_ipif.vhd:249] INFO: [Synth 8-3491] module 'addr_response_shim' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:27300' bound to instance 'I_ADDR_SHIM' of component 'addr_response_shim' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32440] INFO: [Synth 8-638] synthesizing module 'addr_response_shim__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:27343] INFO: [Synth 8-256] done synthesizing module 'addr_response_shim__parameterized0' (18#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:27343] INFO: [Synth 8-3491] module 'actv_hi_pulse_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:1151' bound to instance 'gen_sample_rx_mac_config' of component 'actv_hi_pulse_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32498] INFO: [Synth 8-3491] module 'actv_hi_pulse_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:1151' bound to instance 'gen_sample_tx_mac_config' of component 'actv_hi_pulse_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32508] INFO: [Synth 8-3491] module 'actv_hi_pulse_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:1151' bound to instance 'gen_sample_axi_str_config' of component 'actv_hi_pulse_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32518] INFO: [Synth 8-3491] module 'bus_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:821' bound to instance 'TAG_REG_CROSS_I' of component 'bus_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32530] INFO: [Synth 8-638] synthesizing module 'bus_clk_cross__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:834] INFO: [Synth 8-256] done synthesizing module 'bus_clk_cross__parameterized0' (19#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:834] INFO: [Synth 8-3491] module 'bus_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:821' bound to instance 'TPID0_REG_CROSS_I' of component 'bus_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32542] INFO: [Synth 8-3491] module 'bus_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:821' bound to instance 'TPID1_REG_CROSS_I' of component 'bus_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32554] INFO: [Synth 8-3491] module 'bus_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:821' bound to instance 'UAWL_REG_CROSS_I' of component 'bus_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32566] INFO: [Synth 8-3491] module 'bus_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:821' bound to instance 'UAWU_REG_CROSS_I' of component 'bus_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32578] INFO: [Synth 8-638] synthesizing module 'bus_clk_cross__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:834] INFO: [Synth 8-256] done synthesizing module 'bus_clk_cross__parameterized2' (19#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:834] INFO: [Synth 8-3491] module 'bus_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:821' bound to instance 'RAF_REG_CROSS_I' of component 'bus_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32590] INFO: [Synth 8-638] synthesizing module 'bus_clk_cross__parameterized4' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:834] INFO: [Synth 8-256] done synthesizing module 'bus_clk_cross__parameterized4' (19#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:834] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'sync_pcspma_status' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32603] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'sync_pcspma_status' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32603] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'sync_pcspma_status' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32603] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'sync_pcspma_status' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32603] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'sync_pcspma_status' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32603] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'sync_pcspma_status' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32603] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'sync_pcspma_status' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32603] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'sync_pcspma_status' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32603] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'sync_pcspma_status' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32603] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'sync_pcspma_status' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32603] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'sync_pcspma_status' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32603] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'sync_pcspma_status' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32603] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'sync_pcspma_status' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32603] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'sync_pcspma_status' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32603] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'sync_pcspma_status' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32603] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'sync_pcspma_status' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32603] INFO: [Synth 8-3491] module 'bus_and_enable_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:948' bound to instance 'TX_PAUSE_FRAME_CROSS_I' of component 'bus_and_enable_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32716] INFO: [Synth 8-638] synthesizing module 'bus_and_enable_clk_cross__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:967] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'data_sync' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:1013] INFO: [Synth 8-256] done synthesizing module 'bus_and_enable_clk_cross__parameterized0' (20#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:967] INFO: [Synth 8-3491] module 'bus_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:821' bound to instance 'TX_IFGP_CROSS_I' of component 'bus_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32734] INFO: [Synth 8-638] synthesizing module 'bus_clk_cross__parameterized6' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:834] INFO: [Synth 8-256] done synthesizing module 'bus_clk_cross__parameterized6' (20#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:834] INFO: [Synth 8-3491] module 'actv_hi_pulse_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:1151' bound to instance 'TXCLCLK2AXICLK_ISR_1' of component 'actv_hi_pulse_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32747] INFO: [Synth 8-3491] module 'actv_hi_pulse_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:1151' bound to instance 'TXCLCLK2AXICLK_ISR_5' of component 'actv_hi_pulse_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32758] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'RXCLCLK2AXICLK_ISR_6' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32769] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'CLK2AXICLK_ISR_7' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32778] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'TXCLCLK2AXICLK_ISR_8' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32787] INFO: [Synth 8-3491] module 'actv_hi_reset_clk_cross' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:677' bound to instance 'AXITX_2_TXCLIENT_FSM_GO' of component 'actv_hi_reset_clk_cross' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32876] INFO: [Synth 8-3491] module 'rx_if' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:28868' bound to instance 'RCV_INTFCE_I' of component 'rx_if' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:32899] INFO: [Synth 8-638] synthesizing module 'rx_if__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:28947] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:29172] INFO: [Synth 8-3491] module 'rx_mem_if' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:28332' bound to instance 'RX_DP_MEM_IF_I' of component 'rx_mem_if' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:29323] INFO: [Synth 8-638] synthesizing module 'rx_mem_if__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:28375] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_wrapper' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/lib_bmg_v1_0/hdl/src/vhdl/blk_mem_gen_wrapper.vhd:192] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_v8_2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2.vhd:257] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_v8_2_synth' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:193334] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_top' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:192306] INFO: [Synth 8-638] synthesizing module 'blk_mem_input_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:186752] INFO: [Synth 8-256] done synthesizing module 'blk_mem_input_block' (21#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:186752] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_generic_cstr' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:184039] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:18343] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:18344] INFO: [Synth 8-113] binding component instance 'ram' to cell 'RAMB36E1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:18389] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper' (22#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width' (23#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_generic_cstr' (24#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:184039] INFO: [Synth 8-638] synthesizing module 'blk_mem_output_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:187357] INFO: [Synth 8-256] done synthesizing module 'blk_mem_output_block' (25#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:187357] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_top' (26#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:192306] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_v8_2_synth' (27#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:193334] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_v8_2' (28#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2.vhd:257] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_wrapper' (29#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/lib_bmg_v1_0/hdl/src/vhdl/blk_mem_gen_wrapper.vhd:192] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_wrapper__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/lib_bmg_v1_0/hdl/src/vhdl/blk_mem_gen_wrapper.vhd:192] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_v8_2__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2.vhd:257] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_v8_2_synth__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:193334] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_top__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:192306] INFO: [Synth 8-638] synthesizing module 'blk_mem_input_block__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:186752] INFO: [Synth 8-256] done synthesizing module 'blk_mem_input_block__parameterized0' (29#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:186752] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_generic_cstr__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:184039] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:18343] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:18344] INFO: [Synth 8-113] binding component instance 'ram' to cell 'RAMB36E1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:18389] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized0' (29#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized0' (29#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_generic_cstr__parameterized0' (29#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:184039] INFO: [Synth 8-638] synthesizing module 'blk_mem_output_block__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:187357] INFO: [Synth 8-256] done synthesizing module 'blk_mem_output_block__parameterized0' (29#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:187357] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_top__parameterized0' (29#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:192306] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_v8_2_synth__parameterized0' (29#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:193334] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_v8_2__parameterized0' (29#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2.vhd:257] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_wrapper__parameterized0' (29#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/lib_bmg_v1_0/hdl/src/vhdl/blk_mem_gen_wrapper.vhd:192] INFO: [Synth 8-256] done synthesizing module 'rx_mem_if__parameterized0' (30#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:28375] INFO: [Synth 8-3491] module 'rx_emac_if' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:5013' bound to instance 'RX_EMAC_IF_I' of component 'rx_emac_if' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:29362] INFO: [Synth 8-638] synthesizing module 'rx_emac_if__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:5089] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Synth 8-3491] module 'sync_block' declared at 'c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:267' bound to instance 'SYNC_RXS_LAST_READ_GRAY' of component 'sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:6840] INFO: [Common 17-14] Message 'Synth 8-3491' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. WARNING: [Synth 8-3848] Net saveExtendedMulticastReject in module/entity rx_emac_if__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:5323] WARNING: [Synth 8-3848] Net rxCsumVld in module/entity rx_emac_if__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:5319] INFO: [Synth 8-256] done synthesizing module 'rx_emac_if__parameterized0' (31#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:5089] INFO: [Synth 8-638] synthesizing module 'rx_axistream_if__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:8372] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:8749] INFO: [Synth 8-638] synthesizing module 'basic_sfifo_fg__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:1383] INFO: [Synth 8-638] synthesizing module 'fifo_generator_v12_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0.vhd:665] INFO: [Synth 8-638] synthesizing module 'fifo_generator_v12_0_synth' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:33162] INFO: [Synth 8-638] synthesizing module 'fifo_generator_top' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:31933] INFO: [Synth 8-638] synthesizing module 'fifo_generator_ramfifo' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:20430] INFO: [Synth 8-638] synthesizing module 'reset_blk_ramfifo' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:12292] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:12298] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:12299] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:12301] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:12302] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:12304] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:12305] INFO: [Synth 8-256] done synthesizing module 'reset_blk_ramfifo' (32#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:12292] INFO: [Synth 8-638] synthesizing module 'input_blk' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:4020] INFO: [Synth 8-256] done synthesizing module 'input_blk' (33#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:4020] INFO: [Synth 8-638] synthesizing module 'memory' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:5654] INFO: [Synth 8-638] synthesizing module 'dmem' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:5413] INFO: [Synth 8-4472] Detected and applied attribute ram_style = distributed [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:5427] INFO: [Synth 8-256] done synthesizing module 'dmem' (34#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:5413] INFO: [Synth 8-256] done synthesizing module 'memory' (35#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:5654] INFO: [Synth 8-638] synthesizing module 'rd_logic' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:19537] INFO: [Synth 8-638] synthesizing module 'rd_bin_cntr' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:8095] INFO: [Synth 8-256] done synthesizing module 'rd_bin_cntr' (36#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:8095] INFO: [Synth 8-638] synthesizing module 'rd_status_flags_ss' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:8704] INFO: [Synth 8-638] synthesizing module 'compare' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:7479] INFO: [Synth 8-256] done synthesizing module 'compare' (37#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:7479] INFO: [Synth 8-256] done synthesizing module 'rd_status_flags_ss' (38#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:8704] INFO: [Synth 8-638] synthesizing module 'rd_fwft' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:10857] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:10958] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:11282] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:11367] INFO: [Synth 8-256] done synthesizing module 'rd_fwft' (39#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:10857] INFO: [Synth 8-638] synthesizing module 'dc_ss_fwft' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:10723] INFO: [Synth 8-638] synthesizing module 'updn_cntr' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:8297] INFO: [Synth 8-256] done synthesizing module 'updn_cntr' (40#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:8297] INFO: [Synth 8-256] done synthesizing module 'dc_ss_fwft' (41#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:10723] INFO: [Synth 8-256] done synthesizing module 'rd_logic' (42#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:19537] INFO: [Synth 8-638] synthesizing module 'wr_logic' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:16098] INFO: [Synth 8-638] synthesizing module 'wr_bin_cntr' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:7678] INFO: [Synth 8-256] done synthesizing module 'wr_bin_cntr' (43#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:7678] INFO: [Synth 8-638] synthesizing module 'wr_status_flags_ss' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:13698] INFO: [Synth 8-256] done synthesizing module 'wr_status_flags_ss' (44#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:13698] INFO: [Synth 8-256] done synthesizing module 'wr_logic' (45#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:16098] INFO: [Synth 8-638] synthesizing module 'output_blk' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:4460] INFO: [Synth 8-256] done synthesizing module 'output_blk' (46#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:4460] INFO: [Synth 8-256] done synthesizing module 'fifo_generator_ramfifo' (47#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:20430] INFO: [Synth 8-256] done synthesizing module 'fifo_generator_top' (48#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:31933] INFO: [Synth 8-256] done synthesizing module 'fifo_generator_v12_0_synth' (49#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:33162] INFO: [Synth 8-256] done synthesizing module 'fifo_generator_v12_0' (50#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0.vhd:665] INFO: [Synth 8-256] done synthesizing module 'basic_sfifo_fg__parameterized0' (51#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:1383] INFO: [Synth 8-256] done synthesizing module 'rx_axistream_if__parameterized0' (52#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:8372] INFO: [Synth 8-256] done synthesizing module 'rx_if__parameterized0' (53#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:28947] INFO: [Synth 8-638] synthesizing module 'tx_if__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:29726] INFO: [Synth 8-638] synthesizing module 'tx_axistream_if__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:26939] INFO: [Synth 8-638] synthesizing module 'tx_basic_if__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:23655] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:24808] INFO: [Synth 8-4471] merging register 'txc_we_reg' into 'Axi_Str_TxC_2_Mem_We_int_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:24398] INFO: [Synth 8-256] done synthesizing module 'tx_basic_if__parameterized0' (54#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:23655] INFO: [Synth 8-256] done synthesizing module 'tx_axistream_if__parameterized0' (55#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:26939] INFO: [Synth 8-638] synthesizing module 'tx_mem_if__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:27941] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_wrapper__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/lib_bmg_v1_0/hdl/src/vhdl/blk_mem_gen_wrapper.vhd:192] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_v8_2__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2.vhd:257] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_v8_2_synth__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:193334] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_top__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:192306] INFO: [Synth 8-638] synthesizing module 'blk_mem_input_block__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:186752] INFO: [Synth 8-256] done synthesizing module 'blk_mem_input_block__parameterized1' (55#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:186752] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_generic_cstr__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:184039] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:18344] INFO: [Synth 8-113] binding component instance 'ram' to cell 'RAMB36E1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:18389] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized1' (55#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized1' (55#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_generic_cstr__parameterized1' (55#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:184039] INFO: [Synth 8-638] synthesizing module 'blk_mem_output_block__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:187357] INFO: [Synth 8-256] done synthesizing module 'blk_mem_output_block__parameterized1' (55#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:187357] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_top__parameterized1' (55#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:192306] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_v8_2_synth__parameterized1' (55#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:193334] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_v8_2__parameterized1' (55#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2.vhd:257] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_wrapper__parameterized1' (55#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/lib_bmg_v1_0/hdl/src/vhdl/blk_mem_gen_wrapper.vhd:192] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_wrapper__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/lib_bmg_v1_0/hdl/src/vhdl/blk_mem_gen_wrapper.vhd:192] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_v8_2__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2.vhd:257] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_v8_2_synth__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:193334] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_top__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:192306] INFO: [Synth 8-638] synthesizing module 'blk_mem_input_block__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:186752] INFO: [Synth 8-256] done synthesizing module 'blk_mem_input_block__parameterized2' (55#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:186752] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_generic_cstr__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:184039] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper_init' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:96124] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:106204] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:106205] INFO: [Synth 8-113] binding component instance 'ram' to cell 'RAMB36E1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:106250] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper_init' (56#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:96124] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized2' (56#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_generic_cstr__parameterized2' (56#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:184039] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_top__parameterized2' (56#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:192306] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_v8_2_synth__parameterized2' (56#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:193334] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_v8_2__parameterized2' (56#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2.vhd:257] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_wrapper__parameterized2' (56#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/lib_bmg_v1_0/hdl/src/vhdl/blk_mem_gen_wrapper.vhd:192] INFO: [Synth 8-256] done synthesizing module 'tx_mem_if__parameterized0' (57#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:27941] INFO: [Synth 8-638] synthesizing module 'tx_emac_if__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:25681] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:25838] INFO: [Synth 8-256] done synthesizing module 'tx_emac_if__parameterized0' (58#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:25681] INFO: [Synth 8-256] done synthesizing module 'tx_if__parameterized0' (59#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:29726] INFO: [Synth 8-256] done synthesizing module 'axi_ethernet_buffer_v2_0__parameterized0' (60#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:31913] INFO: [Synth 8-256] done synthesizing module 'bd_0_eth_buf_0' (61#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/synth/bd_0_eth_buf_0.vhd:166] INFO: [Synth 8-638] synthesizing module 'bd_0_eth_mac_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/bd_0_eth_mac_0.vhd:162] INFO: [Synth 8-638] synthesizing module 'bd_0_eth_mac_0_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/bd_0_eth_mac_0_block.vhd:222] INFO: [Synth 8-638] synthesizing module 'bd_0_eth_mac_0_axi4_lite_ipif_wrapper__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/axi_ipif/bd_0_eth_mac_0_axi4_lite_ipif_wrapper.vhd:108] INFO: [Synth 8-638] synthesizing module 'bd_0_eth_mac_0_axi_lite_ipif__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/axi_ipif/bd_0_eth_mac_0_axi_lite_ipif.vhd:196] INFO: [Synth 8-638] synthesizing module 'bd_0_eth_mac_0_slave_attachment__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/axi_ipif/bd_0_eth_mac_0_slave_attachment.vhd:188] INFO: [Synth 8-638] synthesizing module 'bd_0_eth_mac_0_address_decoder__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/axi_ipif/bd_0_eth_mac_0_address_decoder.vhd:141] INFO: [Synth 8-638] synthesizing module 'bd_0_eth_mac_0_pselect_f__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/axi_ipif/bd_0_eth_mac_0_pselect_f.vhd:163] INFO: [Synth 8-256] done synthesizing module 'bd_0_eth_mac_0_pselect_f__parameterized0' (62#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/axi_ipif/bd_0_eth_mac_0_pselect_f.vhd:163] INFO: [Synth 8-256] done synthesizing module 'bd_0_eth_mac_0_address_decoder__parameterized0' (63#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/axi_ipif/bd_0_eth_mac_0_address_decoder.vhd:141] INFO: [Synth 8-638] synthesizing module 'bd_0_eth_mac_0_counter_f__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/axi_ipif/bd_0_eth_mac_0_counter_f.vhd:110] INFO: [Synth 8-256] done synthesizing module 'bd_0_eth_mac_0_counter_f__parameterized0' (64#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/axi_ipif/bd_0_eth_mac_0_counter_f.vhd:110] WARNING: [Synth 8-3936] Found unconnected internal register 'axi_addr_reg' and it is trimmed from '12' to '11' bits. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/axi_ipif/bd_0_eth_mac_0_slave_attachment.vhd:342] INFO: [Synth 8-256] done synthesizing module 'bd_0_eth_mac_0_slave_attachment__parameterized0' (65#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/axi_ipif/bd_0_eth_mac_0_slave_attachment.vhd:188] INFO: [Synth 8-256] done synthesizing module 'bd_0_eth_mac_0_axi_lite_ipif__parameterized0' (66#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/axi_ipif/bd_0_eth_mac_0_axi_lite_ipif.vhd:196] INFO: [Synth 8-256] done synthesizing module 'bd_0_eth_mac_0_axi4_lite_ipif_wrapper__parameterized0' (67#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/axi_ipif/bd_0_eth_mac_0_axi4_lite_ipif_wrapper.vhd:108] INFO: [Synth 8-638] synthesizing module 'bd_0_eth_mac_0_vector_decode' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/statistics/bd_0_eth_mac_0_vector_decode.vhd:95] INFO: [Synth 8-256] done synthesizing module 'bd_0_eth_mac_0_vector_decode' (68#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/statistics/bd_0_eth_mac_0_vector_decode.vhd:95] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:17168] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_sync_reset' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1522] INFO: [Synth 8-4472] Detected and applied attribute shreg_extract = no [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1523] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1523] INFO: [Synth 8-4472] Detected and applied attribute shreg_extract = no [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1524] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1524] INFO: [Synth 8-4472] Detected and applied attribute shreg_extract = no [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1525] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1525] INFO: [Synth 8-4472] Detected and applied attribute shreg_extract = no [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1526] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1526] INFO: [Synth 8-4472] Detected and applied attribute shreg_extract = no [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1527] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1527] INFO: [Synth 8-4472] Detected and applied attribute shreg_extract = no [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1528] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1528] INFO: [Synth 8-4472] Detected and applied attribute shreg_extract = no [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1529] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1529] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_sync_reset' (69#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1522] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_gmii_mii_tx' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:7446] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:7476] INFO: [Synth 8-4471] merging register 'hd_tieoff.force_quiet_reg' into 'hd_tieoff.extension_reg_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:7904] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_gmii_mii_tx' (70#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:7446] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_gmii_mii_rx' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:7101] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_gmii_mii_rx' (71#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:7101] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_ipic_mux' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:14242] INFO: [Synth 8-155] case statement is not full and has no default [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:14424] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_ipic_mux' (72#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:14242] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_tx_axi_intf' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:15494] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_tx_axi_intf' (73#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:15494] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_rx_axi_intf' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:15121] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:15190] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_rx_axi_intf' (74#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:15121] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_control' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9969] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_rx_cntl' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:8286] INFO: [Synth 8-3936] Found unconnected internal register 'data_valid_shift_reg' and it is trimmed from '9' to '8' bits. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:8435] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_rx_cntl' (75#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:8286] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_tx_cntl' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9402] INFO: [Synth 8-638] synthesizing module 'LUT4' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:15821] INFO: [Synth 8-256] done synthesizing module 'LUT4' (76#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:15821] INFO: [Synth 8-638] synthesizing module 'LUT4__parameterized0' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:15821] INFO: [Synth 8-256] done synthesizing module 'LUT4__parameterized0' (76#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:15821] INFO: [Synth 8-638] synthesizing module 'LUT4__parameterized1' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:15821] INFO: [Synth 8-256] done synthesizing module 'LUT4__parameterized1' (76#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:15821] INFO: [Synth 8-638] synthesizing module 'LUT4__parameterized2' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:15821] INFO: [Synth 8-256] done synthesizing module 'LUT4__parameterized2' (76#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:15821] INFO: [Synth 8-638] synthesizing module 'LUT4__parameterized3' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:15821] INFO: [Synth 8-256] done synthesizing module 'LUT4__parameterized3' (76#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:15821] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_tx_cntl' (77#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9402] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_pfc_tx_cntl' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:8812] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:8906] INFO: [Synth 8-4471] merging register 'priority_fsm[1].pri_state_reg[1][2:0]' into 'priority_fsm[0].pri_state_reg[0][2:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9059] INFO: [Synth 8-4471] merging register 'priority_fsm[2].pri_state_reg[2][2:0]' into 'priority_fsm[0].pri_state_reg[0][2:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9059] INFO: [Synth 8-4471] merging register 'priority_fsm[3].pri_state_reg[3][2:0]' into 'priority_fsm[0].pri_state_reg[0][2:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9059] INFO: [Synth 8-4471] merging register 'priority_fsm[4].pri_state_reg[4][2:0]' into 'priority_fsm[0].pri_state_reg[0][2:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9059] INFO: [Synth 8-4471] merging register 'priority_fsm[5].pri_state_reg[5][2:0]' into 'priority_fsm[0].pri_state_reg[0][2:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9059] INFO: [Synth 8-4471] merging register 'priority_fsm[6].pri_state_reg[6][2:0]' into 'priority_fsm[0].pri_state_reg[0][2:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9059] INFO: [Synth 8-4471] merging register 'priority_fsm[7].pri_state_reg[7][2:0]' into 'priority_fsm[0].pri_state_reg[0][2:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9059] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_pfc_tx_cntl' (78#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:8812] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_rx_sync_req' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:7975] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_rx_sync_req' (79#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:7975] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_tx_pause' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:8079] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1679] INFO: [Synth 8-113] binding component instance 'data_sync_reg0' to cell 'FDRE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1706] INFO: [Synth 8-113] binding component instance 'data_sync_reg1' to cell 'FDRE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1718] INFO: [Synth 8-113] binding component instance 'data_sync_reg2' to cell 'FDRE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1730] INFO: [Synth 8-113] binding component instance 'data_sync_reg3' to cell 'FDRE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1742] INFO: [Synth 8-113] binding component instance 'data_sync_reg4' to cell 'FDRE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1754] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_sync_block' (80#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1679] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_tx_pause' (81#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:8079] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_control' (82#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9969] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_tx' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:6435] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:6493] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:6507] INFO: [Synth 8-638] synthesizing module 'CRC_64_32' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1279] INFO: [Synth 8-638] synthesizing module 'CC8CE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:545] INFO: [Synth 8-113] binding component instance 'X36_1I4' to cell 'MUXCY' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:602] INFO: [Synth 8-113] binding component instance 'X36_1I26' to cell 'MUXCY' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:608] INFO: [Synth 8-113] binding component instance 'X36_1I233' to cell 'MUXCY' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:614] INFO: [Synth 8-113] binding component instance 'X36_1I246' to cell 'MUXCY' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:620] INFO: [Synth 8-113] binding component instance 'X36_1I263' to cell 'FDCE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:626] INFO: [Synth 8-113] binding component instance 'X36_1I276' to cell 'FDCE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:633] INFO: [Synth 8-113] binding component instance 'X36_1I289' to cell 'FDCE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:640] INFO: [Synth 8-113] binding component instance 'X36_1I956' to cell 'LUT2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:647] INFO: [Synth 8-113] binding component instance 'X36_1I923' to cell 'VCC' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:656] INFO: [Synth 8-113] binding component instance 'X36_1I886' to cell 'GND' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:659] INFO: [Synth 8-113] binding component instance 'X36_1I285' to cell 'MUXCY' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:662] INFO: [Synth 8-113] binding component instance 'X36_1I272' to cell 'MUXCY' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:668] INFO: [Synth 8-113] binding component instance 'X36_1I259' to cell 'MUXCY' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:674] INFO: [Synth 8-113] binding component instance 'X36_1I298' to cell 'MUXCY' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:680] INFO: [Synth 8-113] binding component instance 'X36_1I6' to cell 'XORCY' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:686] INFO: [Synth 8-113] binding component instance 'X36_1I28' to cell 'XORCY' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:691] INFO: [Synth 8-113] binding component instance 'X36_1I226' to cell 'XORCY' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:696] INFO: [Synth 8-113] binding component instance 'X36_1I239' to cell 'XORCY' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:701] INFO: [Synth 8-113] binding component instance 'X36_1I252' to cell 'XORCY' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:706] INFO: [Synth 8-113] binding component instance 'X36_1I265' to cell 'XORCY' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:711] INFO: [Synth 8-113] binding component instance 'X36_1I278' to cell 'XORCY' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:716] INFO: [Synth 8-113] binding component instance 'X36_1I291' to cell 'XORCY' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:721] INFO: [Synth 8-113] binding component instance 'X36_1I237' to cell 'FDCE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:726] INFO: [Synth 8-113] binding component instance 'X36_1I250' to cell 'FDCE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:733] INFO: [Synth 8-113] binding component instance 'X36_1I224' to cell 'FDCE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:740] INFO: [Synth 8-113] binding component instance 'X36_1I35' to cell 'FDCE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:747] INFO: [Synth 8-113] binding component instance 'X36_1I36' to cell 'FDCE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:754] INFO: [Synth 8-256] done synthesizing module 'CC8CE' (83#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:545] INFO: [Synth 8-113] binding component instance 'FF1' to cell 'FDCE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1324] INFO: [Synth 8-113] binding component instance 'FF2' to cell 'FDCE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1341] INFO: [Synth 8-113] binding component instance 'FF3' to cell 'FDCE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1358] INFO: [Synth 8-113] binding component instance 'FF4' to cell 'FDCE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1375] INFO: [Synth 8-638] synthesizing module 'CC2CE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:840] INFO: [Synth 8-113] binding component instance 'X36_1I4' to cell 'MUXCY' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:863] INFO: [Synth 8-113] binding component instance 'X36_1I956' to cell 'LUT2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:870] INFO: [Synth 8-113] binding component instance 'X36_1I923' to cell 'VCC' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:880] INFO: [Synth 8-113] binding component instance 'X36_1I886' to cell 'GND' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:884] INFO: [Synth 8-113] binding component instance 'X36_1I298' to cell 'MUXCY' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:888] INFO: [Synth 8-113] binding component instance 'X36_1I6' to cell 'XORCY' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:895] INFO: [Synth 8-113] binding component instance 'X36_1I28' to cell 'XORCY' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:901] INFO: [Synth 8-113] binding component instance 'X36_1I35' to cell 'FDCE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:907] INFO: [Synth 8-113] binding component instance 'X36_1I36' to cell 'FDCE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:914] INFO: [Synth 8-256] done synthesizing module 'CC2CE' (84#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:840] INFO: [Synth 8-113] binding component instance 'FF5' to cell 'FDCE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1392] INFO: [Synth 8-113] binding component instance 'X36_1I6' to cell 'XORCY' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1404] INFO: [Synth 8-113] binding component instance 'X36_1I36' to cell 'FDCE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1409] INFO: [Synth 8-113] binding component instance 'X36_1I4' to cell 'MUXCY' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1416] INFO: [Synth 8-113] binding component instance 'X36_1I956' to cell 'LUT2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1422] INFO: [Synth 8-113] binding component instance 'FF6' to cell 'FDCE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1431] INFO: [Synth 8-256] done synthesizing module 'CRC_64_32' (85#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1279] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_sync_block__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1679] INFO: [Synth 8-113] binding component instance 'data_sync_reg0' to cell 'FDRE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1706] INFO: [Synth 8-113] binding component instance 'data_sync_reg1' to cell 'FDRE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1718] INFO: [Synth 8-113] binding component instance 'data_sync_reg2' to cell 'FDRE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1730] INFO: [Synth 8-113] binding component instance 'data_sync_reg3' to cell 'FDRE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1742] INFO: [Synth 8-113] binding component instance 'data_sync_reg4' to cell 'FDRE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1754] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_sync_block__parameterized1' (85#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1679] INFO: [Synth 8-638] synthesizing module 'TX_STATE_MACH__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:2591] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:2745] INFO: [Synth 8-638] synthesizing module 'CRC32_8' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1036] INFO: [Synth 8-256] done synthesizing module 'CRC32_8' (86#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1036] INFO: [Synth 8-256] done synthesizing module 'TX_STATE_MACH__parameterized0' (87#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:2591] INFO: [Synth 8-113] binding component instance 'BYTECNTSRL' to cell 'SRL16E' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:6967] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_tx' (88#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:6435] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_rx' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:9417] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:9523] INFO: [Synth 8-113] binding component instance 'DELAY_BROADCASTADDRESSMATCH' to cell 'SRL16E' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:9800] INFO: [Synth 8-113] binding component instance 'DELAY_RXD' to cell 'SRL16E' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:9904] INFO: [Synth 8-113] binding component instance 'DELAY_RXD' to cell 'SRL16E' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:9904] INFO: [Synth 8-113] binding component instance 'DELAY_RXD' to cell 'SRL16E' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:9904] INFO: [Synth 8-113] binding component instance 'DELAY_RXD' to cell 'SRL16E' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:9904] INFO: [Synth 8-113] binding component instance 'DELAY_RXD' to cell 'SRL16E' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:9904] INFO: [Synth 8-113] binding component instance 'DELAY_RXD' to cell 'SRL16E' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:9904] INFO: [Synth 8-113] binding component instance 'DELAY_RXD' to cell 'SRL16E' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:9904] INFO: [Synth 8-113] binding component instance 'DELAY_RXD' to cell 'SRL16E' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:9904] INFO: [Synth 8-113] binding component instance 'DELAY_RX_DV1' to cell 'SRL16E' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:9921] INFO: [Synth 8-113] binding component instance 'DELAY_RX_DV2' to cell 'SRL16E' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:9934] INFO: [Synth 8-113] binding component instance 'DELAY_RX_ERR' to cell 'SRL16E' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:9948] INFO: [Synth 8-638] synthesizing module 'STATE_MACHINES' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:7938] INFO: [Synth 8-256] done synthesizing module 'STATE_MACHINES' (89#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:7938] INFO: [Synth 8-638] synthesizing module 'DECODE_FRAME' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:8373] INFO: [Synth 8-256] done synthesizing module 'DECODE_FRAME' (90#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:8373] INFO: [Synth 8-638] synthesizing module 'PARAM_CHECK__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:8898] INFO: [Synth 8-256] done synthesizing module 'PARAM_CHECK__parameterized0' (91#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:8898] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_rx' (92#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:9417] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_management' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:11630] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_config' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:10501] INFO: [Synth 8-4471] merging register 'pause_quanta_bus_reg[31:0]' into 'read_data_reg[31:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:11026] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_config' (93#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:10501] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_miim' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:11391] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_miim' (94#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:11391] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_management' (95#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:11630] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_int_ctrl' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:16084] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_int_ctrl' (96#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:16084] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_statistics_core' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:11478] INFO: [Synth 8-113] binding component instance 'RAM64X1D_inst' to cell 'RAM64X1D' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:11625] INFO: [Synth 8-113] binding component instance 'RAM64X1D_inst' to cell 'RAM64X1D' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:11625] INFO: [Synth 8-113] binding component instance 'RAM64X1D_inst' to cell 'RAM64X1D' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:11625] INFO: [Synth 8-113] binding component instance 'RAM64X1D_inst' to cell 'RAM64X1D' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:11625] INFO: [Synth 8-113] binding component instance 'RAM64X1D_inst' to cell 'RAM64X1D' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:11625] INFO: [Synth 8-113] binding component instance 'RAM64X1D_inst' to cell 'RAM64X1D' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:11625] INFO: [Synth 8-113] binding component instance 'RAM64X1D_inst' to cell 'RAM64X1D' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:11625] INFO: [Synth 8-113] binding component instance 'RAM64X1D_inst' to cell 'RAM64X1D' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:11625] INFO: [Synth 8-113] binding component instance 'RAM64X1D_inst' to cell 'RAM64X1D' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:11625] INFO: [Synth 8-113] binding component instance 'RAM64X1D_inst' to cell 'RAM64X1D' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:11625] INFO: [Synth 8-113] binding component instance 'RAM64X1D_inst' to cell 'RAM64X1D' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:11625] INFO: [Synth 8-113] binding component instance 'RAM64X1D_inst' to cell 'RAM64X1D' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:11625] INFO: [Synth 8-113] binding component instance 'RAM64X1D_inst' to cell 'RAM64X1D' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:11625] INFO: [Synth 8-113] binding component instance 'RAM64X1D_inst' to cell 'RAM64X1D' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:11625] INFO: [Synth 8-113] binding component instance 'RAM64X1D_inst' to cell 'RAM64X1D' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:11625] INFO: [Common 17-14] Message 'Synth 8-113' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. INFO: [Synth 8-638] synthesizing module 'pre_accumulator' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:11195] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_sync_reset__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1522] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_sync_reset__parameterized1' (96#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1522] INFO: [Synth 8-256] done synthesizing module 'pre_accumulator' (97#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:11195] INFO: [Synth 8-638] synthesizing module 'increment_controller' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:11024] INFO: [Synth 8-256] done synthesizing module 'increment_controller' (98#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:11024] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_statistics_core' (99#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:11478] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_addr_filter_wrap' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:13779] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_addr_filter' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:12338] INFO: [Synth 8-638] synthesizing module 'tri_mode_ethernet_mac_v8_3_addr_compare' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:12003] INFO: [Synth 8-638] synthesizing module 'RAM64X1D__parameterized1' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:28398] INFO: [Synth 8-256] done synthesizing module 'RAM64X1D__parameterized1' (100#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:28398] INFO: [Synth 8-638] synthesizing module 'RAM64X1D__parameterized2' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:28398] INFO: [Synth 8-256] done synthesizing module 'RAM64X1D__parameterized2' (100#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:28398] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_addr_compare' (101#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:12003] INFO: [Synth 8-638] synthesizing module 'RAM64X1D' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:28398] INFO: [Synth 8-256] done synthesizing module 'RAM64X1D' (101#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:28398] INFO: [Synth 8-638] synthesizing module 'LUT3' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:15781] INFO: [Synth 8-256] done synthesizing module 'LUT3' (102#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:15781] INFO: [Synth 8-638] synthesizing module 'LUT3__parameterized0' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:15781] INFO: [Synth 8-256] done synthesizing module 'LUT3__parameterized0' (102#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:15781] INFO: [Synth 8-638] synthesizing module 'LUT3__parameterized1' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:15781] INFO: [Synth 8-256] done synthesizing module 'LUT3__parameterized1' (102#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:15781] INFO: [Synth 8-638] synthesizing module 'LUT3__parameterized2' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:15781] INFO: [Synth 8-256] done synthesizing module 'LUT3__parameterized2' (102#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:15781] INFO: [Synth 8-638] synthesizing module 'SRL16E' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:34520] INFO: [Synth 8-256] done synthesizing module 'SRL16E' (103#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:34520] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_addr_filter' (104#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:12338] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3_addr_filter_wrap' (105#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:13779] INFO: [Synth 8-256] done synthesizing module 'tri_mode_ethernet_mac_v8_3' (106#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:17168] INFO: [Synth 8-256] done synthesizing module 'bd_0_eth_mac_0_block' (107#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/bd_0_eth_mac_0_block.vhd:222] INFO: [Synth 8-256] done synthesizing module 'bd_0_eth_mac_0' (108#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/bd_0_eth_mac_0.vhd:162] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0.vhd:189] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_support' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0_support.vhd:147] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0_block.vhd:192] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_sgmii_adapt' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/sgmii_adapt/bd_0_pcs_pma_0_sgmii_adapt.vhd:167] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_reset_sync' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0_reset_sync.vhd:79] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_reset_sync' (109#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0_reset_sync.vhd:79] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0_sync_block.vhd:80] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_sync_block' (110#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0_sync_block.vhd:80] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_clk_gen' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/sgmii_adapt/bd_0_pcs_pma_0_clk_gen.vhd:103] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_johnson_cntr' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/sgmii_adapt/bd_0_pcs_pma_0_johnson_cntr.vhd:83] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_johnson_cntr' (111#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/sgmii_adapt/bd_0_pcs_pma_0_johnson_cntr.vhd:83] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_clk_gen' (112#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/sgmii_adapt/bd_0_pcs_pma_0_clk_gen.vhd:103] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_tx_rate_adapt' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/sgmii_adapt/bd_0_pcs_pma_0_tx_rate_adapt.vhd:94] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_tx_rate_adapt' (113#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/sgmii_adapt/bd_0_pcs_pma_0_tx_rate_adapt.vhd:94] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_rx_rate_adapt' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/sgmii_adapt/bd_0_pcs_pma_0_rx_rate_adapt.vhd:100] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_rx_rate_adapt' (114#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/sgmii_adapt/bd_0_pcs_pma_0_rx_rate_adapt.vhd:100] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_sgmii_adapt' (115#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/sgmii_adapt/bd_0_pcs_pma_0_sgmii_adapt.vhd:167] INFO: [Synth 8-638] synthesizing module 'gig_ethernet_pcs_pma_v14_3__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:13272] INFO: [Synth 8-638] synthesizing module 'GPCS_PMA_GEN' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:11385] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:11395] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:11396] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:11397] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:11398] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:11496] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:11497] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:11498] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:11499] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:11500] INFO: [Synth 8-638] synthesizing module 'MANAGEMENT__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:5144] INFO: [Synth 8-638] synthesizing module 'gig_ethernet_pcs_pma_v14_3_sync_block' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:223] INFO: [Synth 8-256] done synthesizing module 'gig_ethernet_pcs_pma_v14_3_sync_block' (116#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:223] INFO: [Synth 8-638] synthesizing module 'MDIO_INTERFACE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:4572] INFO: [Synth 8-256] done synthesizing module 'MDIO_INTERFACE' (117#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:4572] INFO: [Synth 8-256] done synthesizing module 'MANAGEMENT__parameterized0' (118#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:5144] INFO: [Synth 8-638] synthesizing module 'AUTO_NEG__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:6569] INFO: [Synth 8-256] done synthesizing module 'AUTO_NEG__parameterized0' (119#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:6569] INFO: [Synth 8-638] synthesizing module 'TX__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:2638] INFO: [Synth 8-256] done synthesizing module 'TX__parameterized0' (120#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:2638] INFO: [Synth 8-638] synthesizing module 'SYNCHRONISE' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:7933] INFO: [Synth 8-256] done synthesizing module 'SYNCHRONISE' (121#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:7933] INFO: [Synth 8-638] synthesizing module 'RX__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:3420] INFO: [Synth 8-256] done synthesizing module 'RX__parameterized0' (122#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:3420] INFO: [Synth 8-256] done synthesizing module 'GPCS_PMA_GEN' (123#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:11385] INFO: [Synth 8-256] done synthesizing module 'gig_ethernet_pcs_pma_v14_3__parameterized0' (124#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/gig_ethernet_pcs_pma_v14_3/hdl/gig_ethernet_pcs_pma_v14_3_rfs.vhd:13272] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_transceiver__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_transceiver.vhd:162] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_sync_block__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0_sync_block.vhd:80] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_sync_block__parameterized0' (124#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0_sync_block.vhd:80] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_reset_wtd_timer' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_reset_wtd_timer.vhd:81] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_reset_wtd_timer' (125#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_reset_wtd_timer.vhd:81] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_GTWIZARD__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_gtwizard.vhd:204] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_GTWIZARD_init__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_gtwizard_init.vhd:213] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_GTWIZARD_multi_gt__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_gtwizard_multi_gt.vhd:203] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_GTWIZARD_GT__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_gtwizard_gt.vhd:197] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_gtwizard_gtrxreset_seq' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_gtwizard_gtrxreset_seq.vhd:87] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_gtwizard_gtrxreset_seq.vhd:217] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_gtwizard_gtrxreset_seq.vhd:282] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_gtwizard_gtrxreset_seq' (126#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_gtwizard_gtrxreset_seq.vhd:87] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_gtwizard_rxpmarst_seq' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_gtwizard_gtrxpmarst_seq.vhd:88] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_reset_sync__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0_reset_sync.vhd:79] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_reset_sync__parameterized1' (126#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0_reset_sync.vhd:79] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_gtwizard_rxpmarst_seq' (127#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_gtwizard_gtrxpmarst_seq.vhd:88] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_GTWIZARD_GT__parameterized0' (128#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_gtwizard_gt.vhd:197] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_GTWIZARD_multi_gt__parameterized0' (129#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_gtwizard_multi_gt.vhd:203] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_TX_STARTUP_FSM__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_tx_startup_fsm.vhd:122] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_TX_STARTUP_FSM__parameterized0' (130#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_tx_startup_fsm.vhd:122] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_RX_STARTUP_FSM__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_rx_startup_fsm.vhd:127] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_RX_STARTUP_FSM__parameterized0' (131#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_rx_startup_fsm.vhd:127] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_GTWIZARD_init__parameterized0' (132#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_gtwizard_init.vhd:213] WARNING: [Synth 8-3848] Net gt0_dmonitorout_out in module/entity bd_0_pcs_pma_0_GTWIZARD__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_gtwizard.vhd:191] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_GTWIZARD__parameterized0' (133#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_gtwizard.vhd:204] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_rx_elastic_buffer' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_rx_elastic_buffer.vhd:125] INFO: [Synth 8-4472] Detected and applied attribute ram_style = distributed [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_rx_elastic_buffer.vhd:271] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_rx_elastic_buffer' (134#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_rx_elastic_buffer.vhd:125] WARNING: [Synth 8-3848] Net gt0_dmonitorout_out in module/entity bd_0_pcs_pma_0_transceiver__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_transceiver.vhd:142] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_transceiver__parameterized0' (135#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_transceiver.vhd:162] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_block' (136#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0_block.vhd:192] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_clocking' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0_clocking.vhd:83] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_clocking' (137#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0_clocking.vhd:83] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_resets' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0_resets.vhd:78] INFO: [Synth 8-4472] Detected and applied attribute async_reg = TRUE [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0_resets.vhd:85] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_resets' (138#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0_resets.vhd:78] INFO: [Synth 8-638] synthesizing module 'bd_0_pcs_pma_0_gt_common' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0_gt_common.vhd:98] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_gt_common' (139#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0_gt_common.vhd:98] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0_support' (140#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0_support.vhd:147] INFO: [Synth 8-256] done synthesizing module 'bd_0_pcs_pma_0' (141#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0.vhd:189] INFO: [Synth 8-256] done synthesizing module 'bd_0' (142#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/hdl/bd_0.vhd:91] INFO: [Synth 8-256] done synthesizing module 'mbsys_axi_ethernet_0_0' (143#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/synth/mbsys_axi_ethernet_0_0.vhd:133] INFO: [Synth 8-638] synthesizing module 'mbsys_axi_ethernet_0_fifo_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_fifo_0/synth/mbsys_axi_ethernet_0_fifo_0.vhd:102] INFO: [Synth 8-638] synthesizing module 'axi_fifo_mm_s__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axi_fifo_mm_s.vhd:278] INFO: [Synth 8-638] synthesizing module 'axi_lite_ipif__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/axi_lite_ipif.vhd:249] INFO: [Synth 8-638] synthesizing module 'slave_attachment__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/slave_attachment.vhd:239] INFO: [Synth 8-638] synthesizing module 'address_decoder__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/address_decoder.vhd:190] INFO: [Synth 8-638] synthesizing module 'pselect_f' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized0' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized1' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized2' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized3' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized3' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized4' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized4' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized5' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized5' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized6' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized6' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized7' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized7' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized8' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized8' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized9' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized9' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized10' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized10' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized11' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized11' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'address_decoder__parameterized0' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/address_decoder.vhd:190] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/slave_attachment.vhd:445] INFO: [Synth 8-256] done synthesizing module 'slave_attachment__parameterized0' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/slave_attachment.vhd:239] INFO: [Synth 8-256] done synthesizing module 'axi_lite_ipif__parameterized0' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/axi_lite_ipif.vhd:249] INFO: [Synth 8-638] synthesizing module 'ipic2axi_s' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/ipic2axi_s.vhd:187] INFO: [Synth 8-638] synthesizing module 'fifo' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/fifo.vhd:158] INFO: [Synth 8-638] synthesizing module 'axis_fg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:147] INFO: [Synth 8-638] synthesizing module 'fifo_generator_v12_0__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0.vhd:665] INFO: [Synth 8-638] synthesizing module 'fifo_generator_v12_0_synth__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:33162] INFO: [Synth 8-638] synthesizing module 'fifo_generator_top__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:31933] INFO: [Synth 8-638] synthesizing module 'fifo_generator_ramfifo__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:20430] INFO: [Synth 8-638] synthesizing module 'reset_blk_ramfifo__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:12292] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:12668] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:12669] INFO: [Synth 8-4472] Detected and applied attribute async_reg = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:12670] INFO: [Synth 8-256] done synthesizing module 'reset_blk_ramfifo__parameterized0' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:12292] INFO: [Synth 8-638] synthesizing module 'input_blk__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:4020] INFO: [Synth 8-256] done synthesizing module 'input_blk__parameterized0' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:4020] INFO: [Synth 8-638] synthesizing module 'memory__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:5654] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_v8_2__parameterized4' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2.vhd:257] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_v8_2_synth__parameterized3' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:193334] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_top__parameterized3' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:192306] INFO: [Synth 8-638] synthesizing module 'blk_mem_input_block__parameterized3' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:186752] INFO: [Synth 8-256] done synthesizing module 'blk_mem_input_block__parameterized3' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:186752] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_generic_cstr__parameterized3' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:184039] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized3' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized2' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized3' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized4' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized3' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized3' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized4' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized5' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized4' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized4' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized5' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized6' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized5' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized5' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized6' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized7' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized6' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized6' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized7' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_generic_cstr__parameterized3' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:184039] INFO: [Synth 8-638] synthesizing module 'blk_mem_output_block__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:187357] INFO: [Synth 8-256] done synthesizing module 'blk_mem_output_block__parameterized2' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:187357] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_top__parameterized3' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:192306] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_v8_2_synth__parameterized3' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:193334] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_v8_2__parameterized4' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2.vhd:257] INFO: [Synth 8-256] done synthesizing module 'memory__parameterized0' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:5654] INFO: [Synth 8-638] synthesizing module 'rd_logic__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:19537] INFO: [Synth 8-638] synthesizing module 'rd_bin_cntr__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:8095] INFO: [Synth 8-256] done synthesizing module 'rd_bin_cntr__parameterized0' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:8095] INFO: [Synth 8-638] synthesizing module 'rd_status_flags_ss__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:8704] INFO: [Synth 8-638] synthesizing module 'compare__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:7479] INFO: [Synth 8-256] done synthesizing module 'compare__parameterized0' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:7479] INFO: [Synth 8-256] done synthesizing module 'rd_status_flags_ss__parameterized0' (144#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:8704] INFO: [Synth 8-638] synthesizing module 'rd_pe_ss' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:9426] INFO: [Synth 8-256] done synthesizing module 'rd_pe_ss' (145#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:9426] INFO: [Synth 8-638] synthesizing module 'rd_fwft__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:10857] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:10958] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:11282] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:11367] INFO: [Synth 8-256] done synthesizing module 'rd_fwft__parameterized0' (145#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:10857] INFO: [Synth 8-638] synthesizing module 'dc_ss_fwft__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:10723] INFO: [Synth 8-638] synthesizing module 'updn_cntr__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:8297] INFO: [Synth 8-256] done synthesizing module 'updn_cntr__parameterized0' (145#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:8297] INFO: [Synth 8-256] done synthesizing module 'dc_ss_fwft__parameterized0' (145#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:10723] INFO: [Synth 8-256] done synthesizing module 'rd_logic__parameterized0' (145#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:19537] INFO: [Synth 8-638] synthesizing module 'wr_logic__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:16098] INFO: [Synth 8-638] synthesizing module 'wr_bin_cntr__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:7678] INFO: [Synth 8-256] done synthesizing module 'wr_bin_cntr__parameterized0' (145#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:7678] INFO: [Synth 8-638] synthesizing module 'wr_status_flags_ss__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:13698] INFO: [Synth 8-256] done synthesizing module 'wr_status_flags_ss__parameterized0' (145#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:13698] INFO: [Synth 8-638] synthesizing module 'wr_pf_ss' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:14533] INFO: [Synth 8-256] done synthesizing module 'wr_pf_ss' (146#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:14533] INFO: [Synth 8-256] done synthesizing module 'wr_logic__parameterized0' (146#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:16098] INFO: [Synth 8-638] synthesizing module 'output_blk__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:4460] INFO: [Synth 8-256] done synthesizing module 'output_blk__parameterized0' (146#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:4460] INFO: [Synth 8-256] done synthesizing module 'fifo_generator_ramfifo__parameterized0' (146#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:20430] INFO: [Synth 8-256] done synthesizing module 'fifo_generator_top__parameterized0' (146#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:31933] INFO: [Synth 8-256] done synthesizing module 'fifo_generator_v12_0_synth__parameterized0' (146#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:33162] INFO: [Synth 8-256] done synthesizing module 'fifo_generator_v12_0__parameterized0' (146#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0.vhd:665] WARNING: [Synth 8-3848] Net axi_aw_prog_full_thresh_axi_fifo in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:231] WARNING: [Synth 8-3848] Net axi_aw_prog_empty_thresh_axi_fifo in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:232] WARNING: [Synth 8-3848] Net axi_w_prog_full_thresh_axi_fifo in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:242] WARNING: [Synth 8-3848] Net axi_w_prog_empty_thresh_axi_fifo in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:243] WARNING: [Synth 8-3848] Net axi_b_prog_full_thresh_axi_fifo in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:253] WARNING: [Synth 8-3848] Net axi_b_prog_empty_thresh_axi_fifo in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:254] WARNING: [Synth 8-3848] Net axi_ar_prog_full_thresh_axi_fifo in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:264] WARNING: [Synth 8-3848] Net axi_ar_prog_empty_thresh_axi_fifo in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:265] WARNING: [Synth 8-3848] Net axi_r_prog_full_thresh_axi_fifo in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:275] WARNING: [Synth 8-3848] Net axi_r_prog_empty_thresh_axi_fifo in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:276] WARNING: [Synth 8-3848] Net axis_prog_full_thresh in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:292] WARNING: [Synth 8-3848] Net axis_prog_empty_thresh in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:293] INFO: [Synth 8-256] done synthesizing module 'axis_fg' (147#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:147] INFO: [Synth 8-256] done synthesizing module 'fifo' (148#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/fifo.vhd:158] INFO: [Synth 8-638] synthesizing module 'fifo__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/fifo.vhd:158] INFO: [Synth 8-638] synthesizing module 'axis_fg__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:147] INFO: [Synth 8-638] synthesizing module 'fifo_generator_v12_0__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0.vhd:665] INFO: [Synth 8-638] synthesizing module 'fifo_generator_v12_0_synth__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:33162] INFO: [Synth 8-638] synthesizing module 'fifo_generator_top__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:31933] INFO: [Synth 8-638] synthesizing module 'fifo_generator_ramfifo__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:20430] INFO: [Synth 8-638] synthesizing module 'wr_logic__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:16098] INFO: [Synth 8-638] synthesizing module 'wr_bin_cntr__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:7678] INFO: [Synth 8-256] done synthesizing module 'wr_bin_cntr__parameterized1' (148#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:7678] INFO: [Synth 8-638] synthesizing module 'wr_status_flags_ss__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:13698] INFO: [Synth 8-256] done synthesizing module 'wr_status_flags_ss__parameterized1' (148#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:13698] INFO: [Synth 8-256] done synthesizing module 'wr_logic__parameterized1' (148#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:16098] INFO: [Synth 8-638] synthesizing module 'output_blk__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:4460] INFO: [Synth 8-256] done synthesizing module 'output_blk__parameterized1' (148#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:4460] INFO: [Synth 8-256] done synthesizing module 'fifo_generator_ramfifo__parameterized1' (148#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:20430] INFO: [Synth 8-256] done synthesizing module 'fifo_generator_top__parameterized1' (148#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:31933] INFO: [Synth 8-256] done synthesizing module 'fifo_generator_v12_0_synth__parameterized1' (148#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:33162] INFO: [Synth 8-256] done synthesizing module 'fifo_generator_v12_0__parameterized1' (148#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0.vhd:665] WARNING: [Synth 8-3848] Net axi_aw_prog_full_thresh_axi_fifo in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:231] WARNING: [Synth 8-3848] Net axi_aw_prog_empty_thresh_axi_fifo in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:232] WARNING: [Synth 8-3848] Net axi_w_prog_full_thresh_axi_fifo in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:242] WARNING: [Synth 8-3848] Net axi_w_prog_empty_thresh_axi_fifo in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:243] WARNING: [Synth 8-3848] Net axi_b_prog_full_thresh_axi_fifo in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:253] WARNING: [Synth 8-3848] Net axi_b_prog_empty_thresh_axi_fifo in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:254] WARNING: [Synth 8-3848] Net axi_ar_prog_full_thresh_axi_fifo in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:264] WARNING: [Synth 8-3848] Net axi_ar_prog_empty_thresh_axi_fifo in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:265] WARNING: [Synth 8-3848] Net axi_r_prog_full_thresh_axi_fifo in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:275] WARNING: [Synth 8-3848] Net axi_r_prog_empty_thresh_axi_fifo in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:276] WARNING: [Synth 8-3848] Net axis_prog_full_thresh in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:292] WARNING: [Synth 8-3848] Net axis_prog_empty_thresh in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:293] INFO: [Synth 8-256] done synthesizing module 'axis_fg__parameterized0' (148#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:147] INFO: [Synth 8-256] done synthesizing module 'fifo__parameterized0' (148#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/fifo.vhd:158] INFO: [Synth 8-638] synthesizing module 'sync_fifo_fg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/lib_fifo_v1_0/ca55fafe/hdl/src/vhdl/sync_fifo_fg.vhd:234] INFO: [Synth 8-638] synthesizing module 'fifo_generator_v12_0__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0.vhd:665] INFO: [Synth 8-638] synthesizing module 'fifo_generator_v12_0_synth__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:33162] INFO: [Synth 8-638] synthesizing module 'fifo_generator_top__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:31933] INFO: [Synth 8-638] synthesizing module 'fifo_generator_ramfifo__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:20430] INFO: [Synth 8-638] synthesizing module 'input_blk__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:4020] INFO: [Synth 8-256] done synthesizing module 'input_blk__parameterized1' (148#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:4020] INFO: [Synth 8-638] synthesizing module 'memory__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:5654] INFO: [Synth 8-638] synthesizing module 'dmem__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:5413] INFO: [Synth 8-256] done synthesizing module 'dmem__parameterized0' (148#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:5413] INFO: [Synth 8-256] done synthesizing module 'memory__parameterized1' (148#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:5654] INFO: [Synth 8-638] synthesizing module 'rd_logic__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:19537] INFO: [Synth 8-638] synthesizing module 'rd_bin_cntr__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:8095] INFO: [Synth 8-256] done synthesizing module 'rd_bin_cntr__parameterized1' (148#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:8095] INFO: [Synth 8-638] synthesizing module 'rd_status_flags_ss__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:8704] INFO: [Synth 8-638] synthesizing module 'compare__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:7479] INFO: [Synth 8-256] done synthesizing module 'compare__parameterized1' (148#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:7479] INFO: [Synth 8-256] done synthesizing module 'rd_status_flags_ss__parameterized1' (148#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:8704] INFO: [Synth 8-638] synthesizing module 'dc_ss' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:15775] INFO: [Synth 8-638] synthesizing module 'updn_cntr__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:8297] INFO: [Synth 8-256] done synthesizing module 'updn_cntr__parameterized1' (148#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:8297] INFO: [Synth 8-256] done synthesizing module 'dc_ss' (149#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:15775] INFO: [Synth 8-256] done synthesizing module 'rd_logic__parameterized1' (149#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:19537] INFO: [Synth 8-638] synthesizing module 'wr_logic__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:16098] INFO: [Synth 8-638] synthesizing module 'wr_bin_cntr__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:7678] INFO: [Synth 8-256] done synthesizing module 'wr_bin_cntr__parameterized2' (149#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:7678] INFO: [Synth 8-638] synthesizing module 'wr_status_flags_ss__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:13698] INFO: [Synth 8-256] done synthesizing module 'wr_status_flags_ss__parameterized2' (149#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:13698] INFO: [Synth 8-256] done synthesizing module 'wr_logic__parameterized2' (149#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:16098] INFO: [Synth 8-638] synthesizing module 'output_blk__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:4460] INFO: [Synth 8-256] done synthesizing module 'output_blk__parameterized2' (149#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:4460] INFO: [Synth 8-256] done synthesizing module 'fifo_generator_ramfifo__parameterized2' (149#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:20430] INFO: [Synth 8-256] done synthesizing module 'fifo_generator_top__parameterized2' (149#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:31933] INFO: [Synth 8-256] done synthesizing module 'fifo_generator_v12_0_synth__parameterized2' (149#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd:33162] INFO: [Synth 8-256] done synthesizing module 'fifo_generator_v12_0__parameterized2' (149#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/255f4893/hdl/fifo_generator_v12_0.vhd:665] INFO: [Synth 8-256] done synthesizing module 'sync_fifo_fg' (150#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/lib_fifo_v1_0/ca55fafe/hdl/src/vhdl/sync_fifo_fg.vhd:234] INFO: [Synth 8-256] done synthesizing module 'ipic2axi_s' (151#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/ipic2axi_s.vhd:187] INFO: [Synth 8-256] done synthesizing module 'axi_fifo_mm_s__parameterized0' (152#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axi_fifo_mm_s.vhd:278] INFO: [Synth 8-256] done synthesizing module 'mbsys_axi_ethernet_0_fifo_0' (153#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_fifo_0/synth/mbsys_axi_ethernet_0_fifo_0.vhd:102] INFO: [Synth 8-638] synthesizing module 'mbsys_axi_timer_0_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_timer_0_0/synth/mbsys_axi_timer_0_0.vhd:90] INFO: [Synth 8-638] synthesizing module 'axi_timer__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_timer_v2_0/3147922d/hdl/src/vhdl/axi_timer.vhd:222] INFO: [Synth 8-4472] Detected and applied attribute max_fanout = 10000 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_timer_v2_0/3147922d/hdl/src/vhdl/axi_timer.vhd:187] INFO: [Synth 8-4472] Detected and applied attribute max_fanout = 10000 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_timer_v2_0/3147922d/hdl/src/vhdl/axi_timer.vhd:188] INFO: [Synth 8-638] synthesizing module 'tc_core' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_timer_v2_0/3147922d/hdl/src/vhdl/tc_core.vhd:191] INFO: [Synth 8-638] synthesizing module 'mux_onehot_f' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_timer_v2_0/3147922d/hdl/src/vhdl/mux_onehot_f.vhd:166] INFO: [Synth 8-638] synthesizing module 'MUXCY' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:16642] INFO: [Synth 8-256] done synthesizing module 'MUXCY' (154#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:16642] INFO: [Synth 8-256] done synthesizing module 'mux_onehot_f' (155#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_timer_v2_0/3147922d/hdl/src/vhdl/mux_onehot_f.vhd:166] INFO: [Synth 8-638] synthesizing module 'count_module' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_timer_v2_0/3147922d/hdl/src/vhdl/count_module.vhd:147] INFO: [Synth 8-638] synthesizing module 'counter_f' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_timer_v2_0/3147922d/hdl/src/vhdl/counter_f.vhd:148] INFO: [Synth 8-256] done synthesizing module 'counter_f' (156#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_timer_v2_0/3147922d/hdl/src/vhdl/counter_f.vhd:148] INFO: [Synth 8-256] done synthesizing module 'count_module' (157#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_timer_v2_0/3147922d/hdl/src/vhdl/count_module.vhd:147] INFO: [Synth 8-638] synthesizing module 'timer_control' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_timer_v2_0/3147922d/hdl/src/vhdl/timer_control.vhd:199] INFO: [Synth 8-638] synthesizing module 'cdc_sync' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/lib_cdc_v1_0/d3fab4a1/hdl/src/vhdl/cdc_sync.vhd:106] INFO: [Synth 8-256] done synthesizing module 'cdc_sync' (158#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/lib_cdc_v1_0/d3fab4a1/hdl/src/vhdl/cdc_sync.vhd:106] INFO: [Synth 8-256] done synthesizing module 'timer_control' (159#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_timer_v2_0/3147922d/hdl/src/vhdl/timer_control.vhd:199] INFO: [Synth 8-256] done synthesizing module 'tc_core' (160#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_timer_v2_0/3147922d/hdl/src/vhdl/tc_core.vhd:191] INFO: [Synth 8-638] synthesizing module 'axi_lite_ipif__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/axi_lite_ipif.vhd:249] INFO: [Synth 8-638] synthesizing module 'slave_attachment__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/slave_attachment.vhd:239] INFO: [Synth 8-638] synthesizing module 'address_decoder__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/address_decoder.vhd:190] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized12' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized12' (160#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized13' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized13' (160#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized14' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized14' (160#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized15' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized15' (160#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized16' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized16' (160#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized17' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized17' (160#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized18' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized18' (160#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized19' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized19' (160#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'address_decoder__parameterized1' (160#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/address_decoder.vhd:190] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/slave_attachment.vhd:445] INFO: [Synth 8-4512] found unpartitioned construct node [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/slave_attachment.vhd:416] INFO: [Synth 8-256] done synthesizing module 'slave_attachment__parameterized1' (160#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/slave_attachment.vhd:239] INFO: [Synth 8-256] done synthesizing module 'axi_lite_ipif__parameterized1' (160#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/axi_lite_ipif.vhd:249] INFO: [Synth 8-256] done synthesizing module 'axi_timer__parameterized0' (161#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_timer_v2_0/3147922d/hdl/src/vhdl/axi_timer.vhd:222] INFO: [Synth 8-256] done synthesizing module 'mbsys_axi_timer_0_0' (162#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_timer_0_0/synth/mbsys_axi_timer_0_0.vhd:90] INFO: [Synth 8-638] synthesizing module 'mbsys_clk_wiz_1_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_clk_wiz_1_0/mbsys_clk_wiz_1_0.vhd:87] INFO: [Synth 8-638] synthesizing module 'mbsys_clk_wiz_1_0_clk_wiz' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_clk_wiz_1_0/mbsys_clk_wiz_1_0_clk_wiz.vhd:87] INFO: [Synth 8-256] done synthesizing module 'mbsys_clk_wiz_1_0_clk_wiz' (163#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_clk_wiz_1_0/mbsys_clk_wiz_1_0_clk_wiz.vhd:87] INFO: [Synth 8-256] done synthesizing module 'mbsys_clk_wiz_1_0' (164#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_clk_wiz_1_0/mbsys_clk_wiz_1_0.vhd:87] INFO: [Synth 8-638] synthesizing module 'mbsys_mdm_1_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_mdm_1_0/synth/mbsys_mdm_1_0.vhd:93] INFO: [Synth 8-638] synthesizing module 'MDM__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/mdm_v3_2/80798bd8/hdl/vhdl/mdm.vhd:1198] INFO: [Synth 8-4472] Detected and applied attribute buffer_type = none [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/mdm_v3_2/80798bd8/hdl/vhdl/mdm.vhd:2046] INFO: [Synth 8-638] synthesizing module 'MDM_Core__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/mdm_v3_2/80798bd8/hdl/vhdl/mdm_core.vhd:784] INFO: [Synth 8-638] synthesizing module 'JTAG_CONTROL__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/mdm_v3_2/80798bd8/hdl/vhdl/jtag_control.vhd:351] INFO: [Synth 8-4472] Detected and applied attribute keep = TRUE [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/mdm_v3_2/80798bd8/hdl/vhdl/jtag_control.vhd:612] INFO: [Synth 8-4472] Detected and applied attribute async_reg = TRUE [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/mdm_v3_2/80798bd8/hdl/vhdl/jtag_control.vhd:931] INFO: [Synth 8-4472] Detected and applied attribute async_reg = TRUE [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/mdm_v3_2/80798bd8/hdl/vhdl/jtag_control.vhd:1051] INFO: [Synth 8-4472] Detected and applied attribute async_reg = TRUE [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/mdm_v3_2/80798bd8/hdl/vhdl/jtag_control.vhd:1052] INFO: [Synth 8-4472] Detected and applied attribute async_reg = TRUE [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/mdm_v3_2/80798bd8/hdl/vhdl/jtag_control.vhd:1065] INFO: [Synth 8-4472] Detected and applied attribute async_reg = TRUE [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/mdm_v3_2/80798bd8/hdl/vhdl/jtag_control.vhd:1066] INFO: [Synth 8-638] synthesizing module 'mdm_v3_2_SRL_FIFO' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/mdm_v3_2/80798bd8/hdl/vhdl/srl_fifo.vhd:112] INFO: [Synth 8-256] done synthesizing module 'mdm_v3_2_SRL_FIFO' (165#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/mdm_v3_2/80798bd8/hdl/vhdl/srl_fifo.vhd:112] INFO: [Synth 8-256] done synthesizing module 'JTAG_CONTROL__parameterized0' (166#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/mdm_v3_2/80798bd8/hdl/vhdl/jtag_control.vhd:351] INFO: [Synth 8-256] done synthesizing module 'MDM_Core__parameterized0' (167#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/mdm_v3_2/80798bd8/hdl/vhdl/mdm_core.vhd:784] INFO: [Synth 8-638] synthesizing module 'axi_lite_ipif__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/axi_lite_ipif.vhd:249] INFO: [Synth 8-638] synthesizing module 'slave_attachment__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/slave_attachment.vhd:239] INFO: [Synth 8-638] synthesizing module 'address_decoder__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/address_decoder.vhd:190] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized20' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized20' (167#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized21' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized21' (167#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized22' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized22' (167#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized23' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized23' (167#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'address_decoder__parameterized2' (167#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/address_decoder.vhd:190] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/slave_attachment.vhd:445] INFO: [Synth 8-256] done synthesizing module 'slave_attachment__parameterized2' (167#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/slave_attachment.vhd:239] INFO: [Synth 8-256] done synthesizing module 'axi_lite_ipif__parameterized2' (167#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/axi_lite_ipif.vhd:249] INFO: [Synth 8-256] done synthesizing module 'MDM__parameterized0' (168#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/mdm_v3_2/80798bd8/hdl/vhdl/mdm.vhd:1198] INFO: [Synth 8-256] done synthesizing module 'mbsys_mdm_1_0' (169#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_mdm_1_0/synth/mbsys_mdm_1_0.vhd:93] INFO: [Synth 8-638] synthesizing module 'mbsys_microblaze_0_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_microblaze_0_0/synth/mbsys_microblaze_0_0.vhd:115] INFO: [Synth 8-638] synthesizing module 'MicroBlaze__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:90696] INFO: [Synth 8-638] synthesizing module 'MicroBlaze_Core__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:86692] INFO: [Synth 8-638] synthesizing module 'mb_sync_bit__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:4035] INFO: [Synth 8-4472] Detected and applied attribute async_reg = TRUE [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:4042] INFO: [Synth 8-256] done synthesizing module 'mb_sync_bit__parameterized0' (170#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:4035] INFO: [Synth 8-638] synthesizing module 'MicroBlaze_GTi__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:81206] INFO: [Synth 8-638] synthesizing module 'interrupt_mode_converter__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:72400] INFO: [Synth 8-256] done synthesizing module 'interrupt_mode_converter__parameterized0' (171#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:72400] INFO: [Synth 8-638] synthesizing module 'Decode_gti__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:39348] INFO: [Synth 8-4472] Detected and applied attribute keep = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:39784] INFO: [Synth 8-638] synthesizing module 'PC_Module_gti__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:13601] INFO: [Synth 8-638] synthesizing module 'MB_MUXCY_XORCY__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:3900] INFO: [Synth 8-256] done synthesizing module 'MB_MUXCY_XORCY__parameterized0' (172#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:3900] INFO: [Synth 8-638] synthesizing module 'MB_MUXF7__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:2302] INFO: [Synth 8-256] done synthesizing module 'MB_MUXF7__parameterized0' (173#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:2302] INFO: [Synth 8-638] synthesizing module 'MB_FDR__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:3012] INFO: [Synth 8-256] done synthesizing module 'MB_FDR__parameterized0' (174#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:3012] INFO: [Synth 8-256] done synthesizing module 'PC_Module_gti__parameterized0' (175#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:13601] INFO: [Synth 8-638] synthesizing module 'PreFetch_Buffer_gti__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:15634] INFO: [Synth 8-638] synthesizing module 'MB_LUT6__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1984] INFO: [Synth 8-256] done synthesizing module 'MB_LUT6__parameterized0' (176#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1984] INFO: [Synth 8-638] synthesizing module 'MB_LUT6__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1984] INFO: [Synth 8-256] done synthesizing module 'MB_LUT6__parameterized2' (176#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1984] INFO: [Synth 8-638] synthesizing module 'MB_LUT6__parameterized4' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1984] INFO: [Synth 8-256] done synthesizing module 'MB_LUT6__parameterized4' (176#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1984] INFO: [Synth 8-638] synthesizing module 'MB_FDS__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:3282] INFO: [Synth 8-256] done synthesizing module 'MB_FDS__parameterized0' (177#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:3282] INFO: [Synth 8-638] synthesizing module 'MB_LUT6__parameterized6' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1984] INFO: [Synth 8-256] done synthesizing module 'MB_LUT6__parameterized6' (177#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1984] INFO: [Synth 8-256] done synthesizing module 'PreFetch_Buffer_gti__parameterized0' (178#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:15634] INFO: [Synth 8-638] synthesizing module 'microblaze_v9_4_carry_and' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:5213] INFO: [Synth 8-638] synthesizing module 'MB_MUXCY__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:2114] INFO: [Synth 8-256] done synthesizing module 'MB_MUXCY__parameterized0' (179#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:2114] INFO: [Synth 8-256] done synthesizing module 'microblaze_v9_4_carry_and' (180#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:5213] INFO: [Synth 8-638] synthesizing module 'MB_LUT6__parameterized8' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1984] INFO: [Synth 8-256] done synthesizing module 'MB_LUT6__parameterized8' (180#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1984] INFO: [Synth 8-638] synthesizing module 'MB_LUT6__parameterized10' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1984] INFO: [Synth 8-256] done synthesizing module 'MB_LUT6__parameterized10' (180#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1984] INFO: [Synth 8-638] synthesizing module 'jump_logic' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:33824] INFO: [Synth 8-256] done synthesizing module 'jump_logic' (181#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:33824] INFO: [Synth 8-638] synthesizing module 'MB_FDRE__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:3080] INFO: [Synth 8-256] done synthesizing module 'MB_FDRE__parameterized0' (182#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:3080] INFO: [Synth 8-638] synthesizing module 'microblaze_v9_4_carry_or' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:5349] INFO: [Synth 8-256] done synthesizing module 'microblaze_v9_4_carry_or' (183#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:5349] INFO: [Synth 8-256] done synthesizing module 'Decode_gti__parameterized0' (184#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:39348] INFO: [Synth 8-638] synthesizing module 'Data_Flow_gti__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:32063] INFO: [Synth 8-4472] Detected and applied attribute max_fanout = 1000000 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:32726] INFO: [Synth 8-4472] Detected and applied attribute max_fanout = 1000000 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:32727] INFO: [Synth 8-4472] Detected and applied attribute max_fanout = 1000000 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:32728] INFO: [Synth 8-638] synthesizing module 'Register_File_gti__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:16608] INFO: [Synth 8-638] synthesizing module 'MB_RAM32M__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:2450] INFO: [Synth 8-256] done synthesizing module 'MB_RAM32M__parameterized0' (185#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:2450] INFO: [Synth 8-256] done synthesizing module 'Register_File_gti__parameterized0' (186#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:16608] INFO: [Synth 8-638] synthesizing module 'Operand_Select_gti__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:12447] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:12494] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:12563] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:12635] INFO: [Synth 8-256] done synthesizing module 'Operand_Select_gti__parameterized0' (187#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:12447] INFO: [Synth 8-638] synthesizing module 'ALU__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:7824] INFO: [Synth 8-638] synthesizing module 'ALU_Bit__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:7338] INFO: [Synth 8-638] synthesizing module 'MB_LUT6_2__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:2046] INFO: [Synth 8-256] done synthesizing module 'MB_LUT6_2__parameterized0' (188#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:2046] INFO: [Synth 8-256] done synthesizing module 'ALU_Bit__parameterized0' (189#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:7338] INFO: [Synth 8-638] synthesizing module 'ALU_Bit__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:7338] INFO: [Synth 8-638] synthesizing module 'MB_LUT6__parameterized12' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1984] INFO: [Synth 8-256] done synthesizing module 'MB_LUT6__parameterized12' (189#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1984] INFO: [Synth 8-638] synthesizing module 'MB_LUT4__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1866] INFO: [Synth 8-256] done synthesizing module 'MB_LUT4__parameterized0' (190#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1866] INFO: [Synth 8-638] synthesizing module 'MB_MULT_AND__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:3421] INFO: [Synth 8-256] done synthesizing module 'MB_MULT_AND__parameterized0' (191#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:3421] INFO: [Synth 8-256] done synthesizing module 'ALU_Bit__parameterized2' (191#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:7338] INFO: [Synth 8-256] done synthesizing module 'ALU__parameterized0' (192#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:7824] INFO: [Synth 8-638] synthesizing module 'Shift_Logic_Module_gti__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:17764] INFO: [Synth 8-4472] Detected and applied attribute keep = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:17825] INFO: [Synth 8-4472] Detected and applied attribute keep = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:17826] INFO: [Synth 8-4472] Detected and applied attribute keep = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:17827] INFO: [Synth 8-4472] Detected and applied attribute keep = true [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:17828] INFO: [Synth 8-638] synthesizing module 'MB_LUT6__parameterized14' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1984] INFO: [Synth 8-256] done synthesizing module 'MB_LUT6__parameterized14' (192#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1984] INFO: [Synth 8-638] synthesizing module 'MB_LUT6__parameterized16' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1984] INFO: [Synth 8-256] done synthesizing module 'MB_LUT6__parameterized16' (192#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1984] INFO: [Synth 8-638] synthesizing module 'MB_LUT6__parameterized18' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1984] INFO: [Synth 8-256] done synthesizing module 'MB_LUT6__parameterized18' (192#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1984] INFO: [Synth 8-256] done synthesizing module 'Shift_Logic_Module_gti__parameterized0' (193#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:17764] INFO: [Synth 8-638] synthesizing module 'mul_unit' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:9478] INFO: [Synth 8-638] synthesizing module 'dsp_module__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:9226] INFO: [Synth 8-256] done synthesizing module 'dsp_module__parameterized0' (194#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:9226] INFO: [Synth 8-638] synthesizing module 'dsp_module__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:9226] INFO: [Synth 8-256] done synthesizing module 'dsp_module__parameterized2' (194#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:9226] INFO: [Synth 8-638] synthesizing module 'dsp_module__parameterized4' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:9226] INFO: [Synth 8-256] done synthesizing module 'dsp_module__parameterized4' (194#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:9226] INFO: [Synth 8-256] done synthesizing module 'mul_unit' (195#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:9478] INFO: [Synth 8-638] synthesizing module 'Barrel_Shifter_gti__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:19107] INFO: [Synth 8-256] done synthesizing module 'Barrel_Shifter_gti__parameterized0' (196#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:19107] INFO: [Synth 8-638] synthesizing module 'WB_Mux__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:19753] INFO: [Synth 8-638] synthesizing module 'WB_Mux_Bit__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:19478] INFO: [Synth 8-256] done synthesizing module 'WB_Mux_Bit__parameterized0' (197#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:19478] INFO: [Synth 8-638] synthesizing module 'WB_Mux_Bit__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:19478] INFO: [Synth 8-256] done synthesizing module 'WB_Mux_Bit__parameterized2' (197#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:19478] INFO: [Synth 8-256] done synthesizing module 'WB_Mux__parameterized0' (198#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:19753] INFO: [Synth 8-638] synthesizing module 'Zero_Detect_gti__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:18629] INFO: [Synth 8-256] done synthesizing module 'Zero_Detect_gti__parameterized0' (199#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:18629] INFO: [Synth 8-638] synthesizing module 'Byte_Doublet_Handle_gti__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:21146] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:21248] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:21259] INFO: [Synth 8-256] done synthesizing module 'Byte_Doublet_Handle_gti__parameterized0' (200#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:21146] INFO: [Synth 8-638] synthesizing module 'Data_Flow_Logic__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:21595] INFO: [Synth 8-256] done synthesizing module 'Data_Flow_Logic__parameterized0' (201#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:21595] INFO: [Synth 8-638] synthesizing module 'msr_reg_gti__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:8663] INFO: [Synth 8-256] done synthesizing module 'msr_reg_gti__parameterized0' (202#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:8663] INFO: [Synth 8-638] synthesizing module 'MB_LUT6_2__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:2046] INFO: [Synth 8-256] done synthesizing module 'MB_LUT6_2__parameterized2' (202#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:2046] INFO: [Synth 8-638] synthesizing module 'exception_registers_gti__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:22803] INFO: [Synth 8-638] synthesizing module 'mux_bus__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:4338] INFO: [Synth 8-638] synthesizing module 'MB_LUT6_2__parameterized4' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:2046] INFO: [Synth 8-256] done synthesizing module 'MB_LUT6_2__parameterized4' (202#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:2046] INFO: [Synth 8-256] done synthesizing module 'mux_bus__parameterized0' (203#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:4338] INFO: [Synth 8-638] synthesizing module 'MB_LUT6_2__parameterized6' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:2046] INFO: [Synth 8-256] done synthesizing module 'MB_LUT6_2__parameterized6' (203#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:2046] INFO: [Synth 8-638] synthesizing module 'MB_FDE__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:3148] INFO: [Synth 8-256] done synthesizing module 'MB_FDE__parameterized0' (204#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:3148] INFO: [Synth 8-256] done synthesizing module 'exception_registers_gti__parameterized0' (205#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:22803] INFO: [Synth 8-638] synthesizing module 'Div_unit_gti__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:10857] INFO: [Synth 8-638] synthesizing module 'MB_LUT4__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1866] INFO: [Synth 8-256] done synthesizing module 'MB_LUT4__parameterized2' (205#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1866] INFO: [Synth 8-256] done synthesizing module 'Div_unit_gti__parameterized0' (206#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:10857] INFO: [Synth 8-638] synthesizing module 'Fpu' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:25967] INFO: [Synth 8-638] synthesizing module 'MB_FD__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:2950] INFO: [Synth 8-256] done synthesizing module 'MB_FD__parameterized0' (207#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:2950] INFO: [Synth 8-638] synthesizing module 'carry_compare_const__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:6005] INFO: [Synth 8-256] done synthesizing module 'carry_compare_const__parameterized0' (208#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:6005] INFO: [Synth 8-638] synthesizing module 'carry_compare__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:5678] INFO: [Synth 8-256] done synthesizing module 'carry_compare__parameterized0' (209#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:5678] INFO: [Synth 8-638] synthesizing module 'carry_compare__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:5678] INFO: [Synth 8-256] done synthesizing module 'carry_compare__parameterized2' (209#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:5678] INFO: [Synth 8-638] synthesizing module 'FPU_ADDSUB__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:23333] INFO: [Synth 8-638] synthesizing module 'find_first_bit' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:6335] INFO: [Synth 8-256] done synthesizing module 'find_first_bit' (210#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:6335] INFO: [Synth 8-256] done synthesizing module 'FPU_ADDSUB__parameterized0' (211#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:23333] INFO: [Synth 8-638] synthesizing module 'MB_LUT4__parameterized4' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1866] INFO: [Synth 8-256] done synthesizing module 'MB_LUT4__parameterized4' (211#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1866] INFO: [Synth 8-638] synthesizing module 'FPU_MUL__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:24434] INFO: [Synth 8-638] synthesizing module 'dsp_module__parameterized6' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:9226] INFO: [Synth 8-256] done synthesizing module 'dsp_module__parameterized6' (211#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:9226] INFO: [Synth 8-638] synthesizing module 'dsp_module__parameterized8' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:9226] INFO: [Synth 8-256] done synthesizing module 'dsp_module__parameterized8' (211#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:9226] INFO: [Synth 8-256] done synthesizing module 'FPU_MUL__parameterized0' (212#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:24434] INFO: [Synth 8-638] synthesizing module 'FPU_DIV__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:24072] INFO: [Synth 8-256] done synthesizing module 'FPU_DIV__parameterized0' (213#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:24072] WARNING: [Synth 8-3936] Found unconnected internal register 'Use_FPU.mem_exp_res_6_cmb_reg' and it is trimmed from '10' to '8' bits. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:28218] WARNING: [Synth 8-3936] Found unconnected internal register 'Use_FPU.mem_Exp_Res_5_reg' and it is trimmed from '10' to '8' bits. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:28033] WARNING: [Synth 8-3936] Found unconnected internal register 'Use_FPU.mem_mant_res_5_cmb_reg' and it is trimmed from '27' to '26' bits. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:27872] INFO: [Synth 8-256] done synthesizing module 'Fpu' (214#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:25967] INFO: [Synth 8-638] synthesizing module 'PVR__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:28944] INFO: [Synth 8-256] done synthesizing module 'PVR__parameterized0' (215#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:28944] INFO: [Synth 8-256] done synthesizing module 'Data_Flow_gti__parameterized0' (216#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:32063] INFO: [Synth 8-638] synthesizing module 'read_data_mux__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:72280] INFO: [Synth 8-256] done synthesizing module 'read_data_mux__parameterized0' (217#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:72280] INFO: [Synth 8-638] synthesizing module 'DAXI_interface__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:53406] INFO: [Synth 8-256] done synthesizing module 'DAXI_interface__parameterized0' (218#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:53406] INFO: [Synth 8-638] synthesizing module 'MB_AND2B1L__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:2200] INFO: [Synth 8-256] done synthesizing module 'MB_AND2B1L__parameterized0' (219#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:2200] INFO: [Synth 8-638] synthesizing module 'instr_mux__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:71918] INFO: [Synth 8-256] done synthesizing module 'instr_mux__parameterized0' (220#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:71918] INFO: [Synth 8-638] synthesizing module 'Debug__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:45738] INFO: [Synth 8-638] synthesizing module 'MB_SRL16E__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1556] INFO: [Synth 8-256] done synthesizing module 'MB_SRL16E__parameterized0' (221#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1556] INFO: [Synth 8-638] synthesizing module 'MB_SRL16E__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1556] INFO: [Synth 8-256] done synthesizing module 'MB_SRL16E__parameterized2' (221#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1556] INFO: [Synth 8-638] synthesizing module 'MB_SRL16E__parameterized4' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1556] INFO: [Synth 8-256] done synthesizing module 'MB_SRL16E__parameterized4' (221#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1556] INFO: [Synth 8-638] synthesizing module 'MB_SRL16E__parameterized6' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1556] INFO: [Synth 8-256] done synthesizing module 'MB_SRL16E__parameterized6' (221#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1556] INFO: [Synth 8-638] synthesizing module 'MB_SRL16E__parameterized8' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1556] INFO: [Synth 8-256] done synthesizing module 'MB_SRL16E__parameterized8' (221#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1556] INFO: [Synth 8-638] synthesizing module 'MB_SRL16E__parameterized10' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1556] INFO: [Synth 8-256] done synthesizing module 'MB_SRL16E__parameterized10' (221#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1556] INFO: [Synth 8-638] synthesizing module 'MB_SRL16E__parameterized12' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1556] INFO: [Synth 8-256] done synthesizing module 'MB_SRL16E__parameterized12' (221#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1556] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:46774] INFO: [Synth 8-638] synthesizing module 'mb_sync_vec__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:4180] INFO: [Synth 8-638] synthesizing module 'mb_sync_bit__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:4035] INFO: [Synth 8-256] done synthesizing module 'mb_sync_bit__parameterized2' (221#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:4035] INFO: [Synth 8-256] done synthesizing module 'mb_sync_vec__parameterized0' (222#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:4180] INFO: [Synth 8-638] synthesizing module 'mb_sync_vec__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:4180] INFO: [Synth 8-256] done synthesizing module 'mb_sync_vec__parameterized2' (222#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:4180] INFO: [Synth 8-638] synthesizing module 'address_hit__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:44992] INFO: [Synth 8-638] synthesizing module 'MB_SRLC16E__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1635] INFO: [Synth 8-256] done synthesizing module 'MB_SRLC16E__parameterized0' (223#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:1635] INFO: [Synth 8-256] done synthesizing module 'address_hit__parameterized0' (224#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:44992] INFO: [Synth 8-638] synthesizing module 'mb_sync_bit__parameterized4' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:4035] INFO: [Synth 8-256] done synthesizing module 'mb_sync_bit__parameterized4' (224#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:4035] INFO: [Synth 8-256] done synthesizing module 'Debug__parameterized0' (225#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:45738] INFO: [Synth 8-638] synthesizing module 'MMU__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:74551] INFO: [Synth 8-256] done synthesizing module 'MMU__parameterized0' (226#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:74551] INFO: [Synth 8-256] done synthesizing module 'MicroBlaze_GTi__parameterized0' (227#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:81206] INFO: [Synth 8-256] done synthesizing module 'MicroBlaze_Core__parameterized0' (228#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:86692] INFO: [Synth 8-256] done synthesizing module 'MicroBlaze__parameterized0' (229#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:90696] INFO: [Synth 8-256] done synthesizing module 'mbsys_microblaze_0_0' (230#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_microblaze_0_0/synth/mbsys_microblaze_0_0.vhd:115] INFO: [Synth 8-638] synthesizing module 'mbsys_microblaze_0_axi_intc_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_microblaze_0_axi_intc_0/synth/mbsys_microblaze_0_axi_intc_0.vhd:89] INFO: [Synth 8-638] synthesizing module 'axi_intc__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_intc_v4_1/e41a37f5/hdl/src/vhdl/axi_intc.vhd:330] INFO: [Synth 8-4472] Detected and applied attribute max_fanout = 10000 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_intc_v4_1/e41a37f5/hdl/src/vhdl/axi_intc.vhd:258] INFO: [Synth 8-4472] Detected and applied attribute max_fanout = 10000 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_intc_v4_1/e41a37f5/hdl/src/vhdl/axi_intc.vhd:259] INFO: [Synth 8-638] synthesizing module 'intc_core' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_intc_v4_1/e41a37f5/hdl/src/vhdl/intc_core.vhd:256] INFO: [Synth 8-4472] Detected and applied attribute buffer_type = none [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_intc_v4_1/e41a37f5/hdl/src/vhdl/intc_core.vhd:226] INFO: [Synth 8-638] synthesizing module 'shared_ram_ivar' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_intc_v4_1/e41a37f5/hdl/src/vhdl/shared_ram_ivar.vhd:165] INFO: [Synth 8-4472] Detected and applied attribute ram_style = distributed [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_intc_v4_1/e41a37f5/hdl/src/vhdl/shared_ram_ivar.vhd:169] INFO: [Synth 8-256] done synthesizing module 'shared_ram_ivar' (231#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_intc_v4_1/e41a37f5/hdl/src/vhdl/shared_ram_ivar.vhd:165] INFO: [Synth 8-4472] Detected and applied attribute async_reg = TRUE [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_intc_v4_1/e41a37f5/hdl/src/vhdl/intc_core.vhd:1503] INFO: [Synth 8-256] done synthesizing module 'intc_core' (232#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_intc_v4_1/e41a37f5/hdl/src/vhdl/intc_core.vhd:256] INFO: [Synth 8-638] synthesizing module 'axi_lite_ipif__parameterized3' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/axi_lite_ipif.vhd:249] INFO: [Synth 8-638] synthesizing module 'slave_attachment__parameterized3' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/slave_attachment.vhd:239] INFO: [Synth 8-638] synthesizing module 'address_decoder__parameterized3' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/address_decoder.vhd:190] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized24' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized24' (232#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized25' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized25' (232#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized26' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized26' (232#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized27' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized27' (232#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-638] synthesizing module 'pselect_f__parameterized28' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'pselect_f__parameterized28' (232#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/pselect_f.vhd:161] INFO: [Synth 8-256] done synthesizing module 'address_decoder__parameterized3' (232#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/address_decoder.vhd:190] INFO: [Synth 8-226] default block is never used [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/slave_attachment.vhd:445] INFO: [Synth 8-4512] found unpartitioned construct node [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/slave_attachment.vhd:416] INFO: [Synth 8-256] done synthesizing module 'slave_attachment__parameterized3' (232#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/slave_attachment.vhd:239] INFO: [Synth 8-256] done synthesizing module 'axi_lite_ipif__parameterized3' (232#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v3_0/daf00b91/hdl/src/vhdl/axi_lite_ipif.vhd:249] INFO: [Synth 8-256] done synthesizing module 'axi_intc__parameterized0' (233#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_intc_v4_1/e41a37f5/hdl/src/vhdl/axi_intc.vhd:330] INFO: [Synth 8-256] done synthesizing module 'mbsys_microblaze_0_axi_intc_0' (234#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_microblaze_0_axi_intc_0/synth/mbsys_microblaze_0_axi_intc_0.vhd:89] INFO: [Synth 8-638] synthesizing module 'mbsys_microblaze_0_axi_periph_0' [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:1476] INFO: [Synth 8-638] synthesizing module 'm00_couplers_imp_1XFH7XH' [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:57] INFO: [Synth 8-256] done synthesizing module 'm00_couplers_imp_1XFH7XH' (235#1) [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:57] INFO: [Synth 8-638] synthesizing module 'm01_couplers_imp_ISS8LP' [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:158] INFO: [Synth 8-256] done synthesizing module 'm01_couplers_imp_ISS8LP' (236#1) [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:158] INFO: [Synth 8-638] synthesizing module 'm02_couplers_imp_MYF8XG' [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:259] INFO: [Synth 8-256] done synthesizing module 'm02_couplers_imp_MYF8XG' (237#1) [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:259] INFO: [Synth 8-638] synthesizing module 'm03_couplers_imp_1TA53J0' [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:360] INFO: [Synth 8-256] done synthesizing module 'm03_couplers_imp_1TA53J0' (238#1) [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:360] INFO: [Synth 8-638] synthesizing module 'm04_couplers_imp_V5HJWM' [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:461] INFO: [Synth 8-256] done synthesizing module 'm04_couplers_imp_V5HJWM' (239#1) [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:461] INFO: [Synth 8-638] synthesizing module 'm05_couplers_imp_1JZ4DF2' [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:566] INFO: [Synth 8-256] done synthesizing module 'm05_couplers_imp_1JZ4DF2' (240#1) [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:566] INFO: [Synth 8-638] synthesizing module 's00_couplers_imp_1BT5UVI' [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:1268] INFO: [Synth 8-256] done synthesizing module 's00_couplers_imp_1BT5UVI' (241#1) [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:1268] INFO: [Synth 8-638] synthesizing module 'mbsys_xbar_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_xbar_0/synth/mbsys_xbar_0.v:57] INFO: [Synth 8-638] synthesizing module 'axi_crossbar_v2_1_axi_crossbar' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_crossbar_v2_1/da4c95fc/hdl/verilog/axi_crossbar_v2_1_axi_crossbar.v:54] INFO: [Synth 8-638] synthesizing module 'axi_crossbar_v2_1_crossbar_sasd' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_crossbar_v2_1/da4c95fc/hdl/verilog/axi_crossbar_v2_1_crossbar_sasd.v:79] INFO: [Synth 8-638] synthesizing module 'axi_crossbar_v2_1_addr_arbiter_sasd' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_crossbar_v2_1/da4c95fc/hdl/verilog/axi_crossbar_v2_1_addr_arbiter_sasd.v:65] INFO: [Synth 8-256] done synthesizing module 'axi_crossbar_v2_1_addr_arbiter_sasd' (242#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_crossbar_v2_1/da4c95fc/hdl/verilog/axi_crossbar_v2_1_addr_arbiter_sasd.v:65] INFO: [Synth 8-638] synthesizing module 'axi_crossbar_v2_1_addr_decoder' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_crossbar_v2_1/da4c95fc/hdl/verilog/axi_crossbar_v2_1_addr_decoder.v:69] INFO: [Synth 8-638] synthesizing module 'generic_baseblocks_v2_1_comparator_static' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/generic_baseblocks_v2_1/da89d453/hdl/verilog/generic_baseblocks_v2_1_comparator_static.v:61] INFO: [Synth 8-638] synthesizing module 'generic_baseblocks_v2_1_carry_and' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/generic_baseblocks_v2_1/da89d453/hdl/verilog/generic_baseblocks_v2_1_carry_and.v:62] INFO: [Synth 8-256] done synthesizing module 'generic_baseblocks_v2_1_carry_and' (243#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/generic_baseblocks_v2_1/da89d453/hdl/verilog/generic_baseblocks_v2_1_carry_and.v:62] INFO: [Synth 8-256] done synthesizing module 'generic_baseblocks_v2_1_comparator_static' (244#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/generic_baseblocks_v2_1/da89d453/hdl/verilog/generic_baseblocks_v2_1_comparator_static.v:61] INFO: [Synth 8-638] synthesizing module 'generic_baseblocks_v2_1_comparator_static__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/generic_baseblocks_v2_1/da89d453/hdl/verilog/generic_baseblocks_v2_1_comparator_static.v:61] INFO: [Synth 8-256] done synthesizing module 'generic_baseblocks_v2_1_comparator_static__parameterized0' (244#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/generic_baseblocks_v2_1/da89d453/hdl/verilog/generic_baseblocks_v2_1_comparator_static.v:61] INFO: [Synth 8-638] synthesizing module 'generic_baseblocks_v2_1_comparator_static__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/generic_baseblocks_v2_1/da89d453/hdl/verilog/generic_baseblocks_v2_1_comparator_static.v:61] INFO: [Synth 8-256] done synthesizing module 'generic_baseblocks_v2_1_comparator_static__parameterized1' (244#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/generic_baseblocks_v2_1/da89d453/hdl/verilog/generic_baseblocks_v2_1_comparator_static.v:61] INFO: [Synth 8-638] synthesizing module 'generic_baseblocks_v2_1_comparator_static__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/generic_baseblocks_v2_1/da89d453/hdl/verilog/generic_baseblocks_v2_1_comparator_static.v:61] INFO: [Synth 8-256] done synthesizing module 'generic_baseblocks_v2_1_comparator_static__parameterized2' (244#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/generic_baseblocks_v2_1/da89d453/hdl/verilog/generic_baseblocks_v2_1_comparator_static.v:61] INFO: [Synth 8-638] synthesizing module 'generic_baseblocks_v2_1_comparator_static__parameterized3' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/generic_baseblocks_v2_1/da89d453/hdl/verilog/generic_baseblocks_v2_1_comparator_static.v:61] INFO: [Synth 8-256] done synthesizing module 'generic_baseblocks_v2_1_comparator_static__parameterized3' (244#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/generic_baseblocks_v2_1/da89d453/hdl/verilog/generic_baseblocks_v2_1_comparator_static.v:61] INFO: [Synth 8-638] synthesizing module 'generic_baseblocks_v2_1_comparator_static__parameterized4' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/generic_baseblocks_v2_1/da89d453/hdl/verilog/generic_baseblocks_v2_1_comparator_static.v:61] INFO: [Synth 8-256] done synthesizing module 'generic_baseblocks_v2_1_comparator_static__parameterized4' (244#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/generic_baseblocks_v2_1/da89d453/hdl/verilog/generic_baseblocks_v2_1_comparator_static.v:61] INFO: [Synth 8-256] done synthesizing module 'axi_crossbar_v2_1_addr_decoder' (245#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_crossbar_v2_1/da4c95fc/hdl/verilog/axi_crossbar_v2_1_addr_decoder.v:69] INFO: [Synth 8-638] synthesizing module 'axi_crossbar_v2_1_splitter' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_crossbar_v2_1/da4c95fc/hdl/verilog/axi_crossbar_v2_1_splitter.v:72] INFO: [Synth 8-256] done synthesizing module 'axi_crossbar_v2_1_splitter' (246#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_crossbar_v2_1/da4c95fc/hdl/verilog/axi_crossbar_v2_1_splitter.v:72] INFO: [Synth 8-638] synthesizing module 'axi_crossbar_v2_1_splitter__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_crossbar_v2_1/da4c95fc/hdl/verilog/axi_crossbar_v2_1_splitter.v:72] INFO: [Synth 8-256] done synthesizing module 'axi_crossbar_v2_1_splitter__parameterized0' (246#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_crossbar_v2_1/da4c95fc/hdl/verilog/axi_crossbar_v2_1_splitter.v:72] INFO: [Synth 8-638] synthesizing module 'generic_baseblocks_v2_1_mux_enc' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/generic_baseblocks_v2_1/da89d453/hdl/verilog/generic_baseblocks_v2_1_mux_enc.v:63] INFO: [Synth 8-256] done synthesizing module 'generic_baseblocks_v2_1_mux_enc' (247#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/generic_baseblocks_v2_1/da89d453/hdl/verilog/generic_baseblocks_v2_1_mux_enc.v:63] INFO: [Synth 8-638] synthesizing module 'generic_baseblocks_v2_1_mux_enc__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/generic_baseblocks_v2_1/da89d453/hdl/verilog/generic_baseblocks_v2_1_mux_enc.v:63] INFO: [Synth 8-256] done synthesizing module 'generic_baseblocks_v2_1_mux_enc__parameterized0' (247#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/generic_baseblocks_v2_1/da89d453/hdl/verilog/generic_baseblocks_v2_1_mux_enc.v:63] INFO: [Synth 8-638] synthesizing module 'generic_baseblocks_v2_1_mux_enc__parameterized1' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/generic_baseblocks_v2_1/da89d453/hdl/verilog/generic_baseblocks_v2_1_mux_enc.v:63] INFO: [Synth 8-256] done synthesizing module 'generic_baseblocks_v2_1_mux_enc__parameterized1' (247#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/generic_baseblocks_v2_1/da89d453/hdl/verilog/generic_baseblocks_v2_1_mux_enc.v:63] INFO: [Synth 8-638] synthesizing module 'axi_register_slice_v2_1_axic_register_slice' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_register_slice_v2_1/353278bf/hdl/verilog/axi_register_slice_v2_1_axic_register_slice.v:62] INFO: [Synth 8-256] done synthesizing module 'axi_register_slice_v2_1_axic_register_slice' (248#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_register_slice_v2_1/353278bf/hdl/verilog/axi_register_slice_v2_1_axic_register_slice.v:62] INFO: [Synth 8-638] synthesizing module 'generic_baseblocks_v2_1_mux_enc__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/generic_baseblocks_v2_1/da89d453/hdl/verilog/generic_baseblocks_v2_1_mux_enc.v:63] INFO: [Synth 8-256] done synthesizing module 'generic_baseblocks_v2_1_mux_enc__parameterized2' (248#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/generic_baseblocks_v2_1/da89d453/hdl/verilog/generic_baseblocks_v2_1_mux_enc.v:63] INFO: [Synth 8-638] synthesizing module 'axi_crossbar_v2_1_decerr_slave' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_crossbar_v2_1/da4c95fc/hdl/verilog/axi_crossbar_v2_1_decerr_slave.v:64] INFO: [Synth 8-256] done synthesizing module 'axi_crossbar_v2_1_decerr_slave' (249#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_crossbar_v2_1/da4c95fc/hdl/verilog/axi_crossbar_v2_1_decerr_slave.v:64] INFO: [Synth 8-256] done synthesizing module 'axi_crossbar_v2_1_crossbar_sasd' (250#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_crossbar_v2_1/da4c95fc/hdl/verilog/axi_crossbar_v2_1_crossbar_sasd.v:79] INFO: [Synth 8-256] done synthesizing module 'axi_crossbar_v2_1_axi_crossbar' (251#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_crossbar_v2_1/da4c95fc/hdl/verilog/axi_crossbar_v2_1_axi_crossbar.v:54] INFO: [Synth 8-256] done synthesizing module 'mbsys_xbar_0' (252#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_xbar_0/synth/mbsys_xbar_0.v:57] INFO: [Synth 8-256] done synthesizing module 'mbsys_microblaze_0_axi_periph_0' (253#1) [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:1476] INFO: [Synth 8-638] synthesizing module 'microblaze_0_local_memory_imp_Q2VUON' [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:656] INFO: [Synth 8-638] synthesizing module 'mbsys_dlmb_bram_if_cntlr_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_dlmb_bram_if_cntlr_0/synth/mbsys_dlmb_bram_if_cntlr_0.vhd:84] INFO: [Synth 8-638] synthesizing module 'lmb_bram_if_cntlr__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/ed8e0b57/hdl/vhdl/lmb_bram_if_cntlr.vhd:217] INFO: [Synth 8-638] synthesizing module 'lmb_mux__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/ed8e0b57/hdl/vhdl/lmb_mux.vhd:170] INFO: [Synth 8-638] synthesizing module 'pselect_mask__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/ed8e0b57/hdl/vhdl/pselect_mask.vhd:108] INFO: [Synth 8-256] done synthesizing module 'pselect_mask__parameterized0' (254#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/ed8e0b57/hdl/vhdl/pselect_mask.vhd:108] INFO: [Synth 8-256] done synthesizing module 'lmb_mux__parameterized0' (255#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/ed8e0b57/hdl/vhdl/lmb_mux.vhd:170] INFO: [Synth 8-256] done synthesizing module 'lmb_bram_if_cntlr__parameterized0' (256#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/ed8e0b57/hdl/vhdl/lmb_bram_if_cntlr.vhd:217] INFO: [Synth 8-256] done synthesizing module 'mbsys_dlmb_bram_if_cntlr_0' (257#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_dlmb_bram_if_cntlr_0/synth/mbsys_dlmb_bram_if_cntlr_0.vhd:84] INFO: [Synth 8-638] synthesizing module 'mbsys_dlmb_v10_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_dlmb_v10_0/synth/mbsys_dlmb_v10_0.vhd:89] INFO: [Synth 8-638] synthesizing module 'lmb_v10__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/lmb_v10_v3_0/8e441e39/hdl/vhdl/lmb_v10.vhd:140] INFO: [Synth 8-638] synthesizing module 'FDS' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:3137] INFO: [Synth 8-256] done synthesizing module 'FDS' (258#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:3137] INFO: [Synth 8-256] done synthesizing module 'lmb_v10__parameterized0' (259#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/lmb_v10_v3_0/8e441e39/hdl/vhdl/lmb_v10.vhd:140] INFO: [Synth 8-256] done synthesizing module 'mbsys_dlmb_v10_0' (260#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_dlmb_v10_0/synth/mbsys_dlmb_v10_0.vhd:89] INFO: [Synth 8-638] synthesizing module 'mbsys_ilmb_bram_if_cntlr_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_ilmb_bram_if_cntlr_0/synth/mbsys_ilmb_bram_if_cntlr_0.vhd:84] INFO: [Synth 8-638] synthesizing module 'lmb_bram_if_cntlr__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/ed8e0b57/hdl/vhdl/lmb_bram_if_cntlr.vhd:217] INFO: [Synth 8-638] synthesizing module 'lmb_mux__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/ed8e0b57/hdl/vhdl/lmb_mux.vhd:170] INFO: [Synth 8-638] synthesizing module 'pselect_mask__parameterized2' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/ed8e0b57/hdl/vhdl/pselect_mask.vhd:108] INFO: [Synth 8-256] done synthesizing module 'pselect_mask__parameterized2' (260#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/ed8e0b57/hdl/vhdl/pselect_mask.vhd:108] INFO: [Synth 8-256] done synthesizing module 'lmb_mux__parameterized2' (260#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/ed8e0b57/hdl/vhdl/lmb_mux.vhd:170] INFO: [Synth 8-256] done synthesizing module 'lmb_bram_if_cntlr__parameterized2' (260#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/ed8e0b57/hdl/vhdl/lmb_bram_if_cntlr.vhd:217] INFO: [Synth 8-256] done synthesizing module 'mbsys_ilmb_bram_if_cntlr_0' (261#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_ilmb_bram_if_cntlr_0/synth/mbsys_ilmb_bram_if_cntlr_0.vhd:84] INFO: [Synth 8-638] synthesizing module 'mbsys_ilmb_v10_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_ilmb_v10_0/synth/mbsys_ilmb_v10_0.vhd:89] INFO: [Synth 8-256] done synthesizing module 'mbsys_ilmb_v10_0' (262#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_ilmb_v10_0/synth/mbsys_ilmb_v10_0.vhd:89] INFO: [Synth 8-638] synthesizing module 'mbsys_lmb_bram_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_lmb_bram_0/synth/mbsys_lmb_bram_0.vhd:78] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_v8_2__parameterized6' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2.vhd:257] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_v8_2_synth__parameterized4' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:193334] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_top__parameterized4' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:192306] INFO: [Synth 8-638] synthesizing module 'blk_mem_input_block__parameterized4' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:186752] INFO: [Synth 8-256] done synthesizing module 'blk_mem_input_block__parameterized4' (262#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:186752] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_generic_cstr__parameterized4' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:184039] INFO: [Synth 8-638] synthesizing module 'bindec' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:5093] INFO: [Synth 8-256] done synthesizing module 'bindec' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:5093] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized8' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized7' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized7' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized8' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized9' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized8' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized8' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized9' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized10' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized9' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized9' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized10' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized11' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized10' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized10' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized11' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized12' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized11' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized11' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized12' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized13' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized12' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized12' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized13' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized14' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized13' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized13' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized14' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized15' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized14' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized14' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized15' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized16' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized15' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized15' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized16' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized17' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized16' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized16' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized17' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized18' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized17' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized17' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized18' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized19' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized18' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized18' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized19' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized20' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized19' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized19' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized20' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized21' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized20' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized20' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized21' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized22' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized21' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized21' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized22' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized23' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized22' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized22' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized23' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized24' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized23' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized23' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized24' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized25' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized24' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized24' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized25' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized26' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized25' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized25' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized26' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized27' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized26' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized26' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized27' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized28' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized27' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized27' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized28' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized29' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized28' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized28' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized29' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized30' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized29' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized29' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized30' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized31' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized30' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized30' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized31' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized32' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized31' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized31' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized32' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized33' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized32' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized32' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized33' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized34' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized33' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized33' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized34' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized35' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized34' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized34' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized35' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized36' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized35' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized35' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized36' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized37' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized36' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized36' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized37' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized38' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized37' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized37' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized38' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_width__parameterized39' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183387] INFO: [Synth 8-3919] null assignment ignored [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183388] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_prim_wrapper__parameterized38' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_wrapper__parameterized38' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:6945] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_prim_width__parameterized39' (263#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:182745] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_mux' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:5356] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_mux' (264#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:5356] INFO: [Synth 8-638] synthesizing module 'blk_mem_gen_mux__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:5356] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_mux__parameterized0' (264#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:5356] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_generic_cstr__parameterized4' (264#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:184039] INFO: [Synth 8-638] synthesizing module 'blk_mem_output_block__parameterized3' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:187357] INFO: [Synth 8-256] done synthesizing module 'blk_mem_output_block__parameterized3' (264#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:187357] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_top__parameterized4' (264#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:192306] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_v8_2_synth__parameterized4' (264#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:193334] INFO: [Synth 8-256] done synthesizing module 'blk_mem_gen_v8_2__parameterized6' (264#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2.vhd:257] INFO: [Synth 8-256] done synthesizing module 'mbsys_lmb_bram_0' (265#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_lmb_bram_0/synth/mbsys_lmb_bram_0.vhd:78] INFO: [Synth 8-256] done synthesizing module 'microblaze_0_local_memory_imp_Q2VUON' (266#1) [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:656] INFO: [Synth 8-638] synthesizing module 'mbsys_microblaze_0_xlconcat_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_microblaze_0_xlconcat_0/synth/mbsys_microblaze_0_xlconcat_0.vhd:70] INFO: [Synth 8-638] synthesizing module 'xlconcat__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/xlconcat_v2_1/21a398c4/xlconcat.vhd:100] INFO: [Synth 8-256] done synthesizing module 'xlconcat__parameterized0' (267#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/xlconcat_v2_1/21a398c4/xlconcat.vhd:100] INFO: [Synth 8-256] done synthesizing module 'mbsys_microblaze_0_xlconcat_0' (268#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_microblaze_0_xlconcat_0/synth/mbsys_microblaze_0_xlconcat_0.vhd:70] INFO: [Synth 8-638] synthesizing module 'mbsys_rst_clk_wiz_1_100M_0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_rst_clk_wiz_1_100M_0/synth/mbsys_rst_clk_wiz_1_100M_0.vhd:74] INFO: [Synth 8-638] synthesizing module 'proc_sys_reset__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/proc_sys_reset_v5_0/7820e39a/hdl/src/vhdl/proc_sys_reset.vhd:199] INFO: [Synth 8-638] synthesizing module 'lpf' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/proc_sys_reset_v5_0/7820e39a/hdl/src/vhdl/lpf.vhd:138] INFO: [Synth 8-638] synthesizing module 'SRL16' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:34504] INFO: [Synth 8-256] done synthesizing module 'SRL16' (269#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:34504] INFO: [Synth 8-638] synthesizing module 'cdc_sync__parameterized0' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/lib_cdc_v1_0/d3fab4a1/hdl/src/vhdl/cdc_sync.vhd:106] INFO: [Synth 8-256] done synthesizing module 'cdc_sync__parameterized0' (269#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/lib_cdc_v1_0/d3fab4a1/hdl/src/vhdl/cdc_sync.vhd:106] INFO: [Synth 8-256] done synthesizing module 'lpf' (270#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/proc_sys_reset_v5_0/7820e39a/hdl/src/vhdl/lpf.vhd:138] INFO: [Synth 8-638] synthesizing module 'sequence' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/proc_sys_reset_v5_0/7820e39a/hdl/src/vhdl/sequence.vhd:146] INFO: [Synth 8-638] synthesizing module 'upcnt_n' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/proc_sys_reset_v5_0/7820e39a/hdl/src/vhdl/upcnt_n.vhd:125] INFO: [Synth 8-256] done synthesizing module 'upcnt_n' (271#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/proc_sys_reset_v5_0/7820e39a/hdl/src/vhdl/upcnt_n.vhd:125] INFO: [Synth 8-256] done synthesizing module 'sequence' (272#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/proc_sys_reset_v5_0/7820e39a/hdl/src/vhdl/sequence.vhd:146] INFO: [Synth 8-256] done synthesizing module 'proc_sys_reset__parameterized0' (273#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/proc_sys_reset_v5_0/7820e39a/hdl/src/vhdl/proc_sys_reset.vhd:199] INFO: [Synth 8-256] done synthesizing module 'mbsys_rst_clk_wiz_1_100M_0' (274#1) [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_rst_clk_wiz_1_100M_0/synth/mbsys_rst_clk_wiz_1_100M_0.vhd:74] INFO: [Synth 8-256] done synthesizing module 'mbsys' (275#1) [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/hdl/mbsys.vhd:2394] INFO: [Synth 8-638] synthesizing module 'IOBUF' [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:12928] INFO: [Synth 8-256] done synthesizing module 'IOBUF' (276#1) [C:/Xilinx/Vivado/2014.4/scripts/rt/data/unisim_comp.v:12928] INFO: [Synth 8-638] synthesizing module 'clk_wiz_0' [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/.Xil/Vivado-6468-phys-pc458-4/realtime/clk_wiz_0_stub.vhdl:16] INFO: [Synth 8-638] synthesizing module 'my_led' [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/new/my_led.vhd:41] INFO: [Synth 8-256] done synthesizing module 'my_led' (277#1) [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/new/my_led.vhd:41] INFO: [Synth 8-638] synthesizing module 'my_ckbc_ena' [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/new/my_ckbc_ena.vhd:41] INFO: [Synth 8-256] done synthesizing module 'my_ckbc_ena' (278#1) [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/new/my_ckbc_ena.vhd:41] INFO: [Synth 8-638] synthesizing module 'ila_0' [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/.Xil/Vivado-6468-phys-pc458-4/realtime/ila_0_stub.vhdl:25] WARNING: [Synth 8-3848] Net LED_BANK_35 in module/entity toplevel does not have driver. [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/hdl/toplevel.vhd:22] INFO: [Synth 8-256] done synthesizing module 'toplevel' (279#1) [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/hdl/toplevel.vhd:66] WARNING: [Synth 8-3917] design toplevel has port LED_BANK_15 driven by constant 1 WARNING: [Synth 8-3917] design toplevel has port LED_BANK_16 driven by constant 0 WARNING: [Synth 8-3331] design toplevel has unconnected port LED_BANK_35 WARNING: [Synth 8-3331] design toplevel has unconnected port ENET_PHY_INT --------------------------------------------------------------------------------- Finished RTL Elaboration : Time (s): cpu = 00:09:09 ; elapsed = 00:09:20 . Memory (MB): peak = 807.832 ; gain = 645.051 --------------------------------------------------------------------------------- Report Check Netlist: +------+------------------+-------+---------+-------+------------------+ | |Item |Errors |Warnings |Status |Description | +------+------------------+-------+---------+-------+------------------+ |1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | +------+------------------+-------+---------+-------+------------------+ INFO: [Synth 8-3295] tying undriven pin \prim_noinit.ram :DINA[8] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183401] INFO: [Synth 8-3295] tying undriven pin \prim_noinit.ram :DINA[7] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183401] INFO: [Synth 8-3295] tying undriven pin \prim_noinit.ram :DINA[6] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183401] INFO: [Synth 8-3295] tying undriven pin \prim_noinit.ram :DINA[5] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183401] INFO: [Synth 8-3295] tying undriven pin \prim_noinit.ram :DINB[8] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183401] INFO: [Synth 8-3295] tying undriven pin \prim_noinit.ram :DINB[7] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183401] INFO: [Synth 8-3295] tying undriven pin \prim_noinit.ram :DINB[6] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183401] INFO: [Synth 8-3295] tying undriven pin \prim_noinit.ram :DINB[5] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/c92452ce/hdl/blk_mem_gen_v8_2_vhsyn_rfs.vhd:183401] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_aw_prog_full_thresh[3] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_aw_prog_full_thresh[2] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_aw_prog_full_thresh[1] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_aw_prog_full_thresh[0] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_aw_prog_empty_thresh[3] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_aw_prog_empty_thresh[2] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_aw_prog_empty_thresh[1] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_aw_prog_empty_thresh[0] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_w_prog_full_thresh[9] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_w_prog_full_thresh[8] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_w_prog_full_thresh[7] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_w_prog_full_thresh[6] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_w_prog_full_thresh[5] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_w_prog_full_thresh[4] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_w_prog_full_thresh[3] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_w_prog_full_thresh[2] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_w_prog_full_thresh[1] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_w_prog_full_thresh[0] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_w_prog_empty_thresh[9] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_w_prog_empty_thresh[8] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_w_prog_empty_thresh[7] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_w_prog_empty_thresh[6] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_w_prog_empty_thresh[5] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_w_prog_empty_thresh[4] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_w_prog_empty_thresh[3] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_w_prog_empty_thresh[2] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_w_prog_empty_thresh[1] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_w_prog_empty_thresh[0] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_b_prog_full_thresh[3] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_b_prog_full_thresh[2] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_b_prog_full_thresh[1] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_b_prog_full_thresh[0] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_b_prog_empty_thresh[3] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_b_prog_empty_thresh[2] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_b_prog_empty_thresh[1] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_b_prog_empty_thresh[0] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_ar_prog_full_thresh[3] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_ar_prog_full_thresh[2] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_ar_prog_full_thresh[1] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_ar_prog_full_thresh[0] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_ar_prog_empty_thresh[3] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_ar_prog_empty_thresh[2] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_ar_prog_empty_thresh[1] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_ar_prog_empty_thresh[0] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_r_prog_full_thresh[9] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_r_prog_full_thresh[8] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_r_prog_full_thresh[7] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_r_prog_full_thresh[6] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_r_prog_full_thresh[5] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_r_prog_full_thresh[4] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_r_prog_full_thresh[3] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_r_prog_full_thresh[2] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_r_prog_full_thresh[1] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_r_prog_full_thresh[0] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_r_prog_empty_thresh[9] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_r_prog_empty_thresh[8] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_r_prog_empty_thresh[7] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_r_prog_empty_thresh[6] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_r_prog_empty_thresh[5] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_r_prog_empty_thresh[4] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_r_prog_empty_thresh[3] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_r_prog_empty_thresh[2] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_r_prog_empty_thresh[1] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_r_prog_empty_thresh[0] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_full_thresh[11] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_full_thresh[10] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_full_thresh[9] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_full_thresh[8] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_full_thresh[7] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_full_thresh[6] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_full_thresh[5] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_full_thresh[4] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_full_thresh[3] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_full_thresh[2] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_full_thresh[1] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_full_thresh[0] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_empty_thresh[11] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_empty_thresh[10] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_empty_thresh[9] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_empty_thresh[8] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_empty_thresh[7] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_empty_thresh[6] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_empty_thresh[5] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_empty_thresh[4] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_empty_thresh[3] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_empty_thresh[2] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_empty_thresh[1] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axis_prog_empty_thresh[0] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_aw_prog_full_thresh[3] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_aw_prog_full_thresh[2] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_aw_prog_full_thresh[1] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] WARNING: [Synth 8-3295] tying undriven pin COMP_FIFO:axi_aw_prog_full_thresh[0] to constant 0 [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:296] INFO: [Common 17-14] Message 'Synth 8-3295' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. --------------------------------------------------------------------------------- Finished RTL Optimization Phase 1 : Time (s): cpu = 00:09:13 ; elapsed = 00:09:24 . Memory (MB): peak = 807.832 ; gain = 645.051 --------------------------------------------------------------------------------- INFO: [Netlist 29-17] Analyzing 1372 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds Loading clock regions from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/artix7/xc7a200t/ClockRegion.xml Loading clock buffers from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/artix7/xc7a200t/ClockBuffers.xml Loading clock placement rules from C:/Xilinx/Vivado/2014.4/data/parts/xilinx/artix7/ClockPlacerRules.xml Loading package pin functions from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/PinFunctions.xml... Loading package from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/artix7/xc7a200t/fbg484/Package.xml Loading io standards from C:/Xilinx/Vivado/2014.4/data\./parts/xilinx/artix7/IOStandards.xml Loading device configuration modes from C:/Xilinx/Vivado/2014.4/data\parts/xilinx/artix7/ConfigModes.xml INFO: [Project 1-570] Preparing netlist for logic optimization Processing XDC Constraints Initializing timing engine Parsing XDC File [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/.Xil/Vivado-6468-phys-pc458-4/dcp_2/clk_wiz_0_in_context.xdc] for cell 'clk_user_inst' Finished Parsing XDC File [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/.Xil/Vivado-6468-phys-pc458-4/dcp_2/clk_wiz_0_in_context.xdc] for cell 'clk_user_inst' Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_microblaze_0_0/mbsys_microblaze_0_0.xdc] for cell 'mbsys_i/microblaze_0/U0' Finished Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_microblaze_0_0/mbsys_microblaze_0_0.xdc] for cell 'mbsys_i/microblaze_0/U0' Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_dlmb_v10_0/mbsys_dlmb_v10_0.xdc] for cell 'mbsys_i/microblaze_0_local_memory/dlmb_v10/U0' Finished Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_dlmb_v10_0/mbsys_dlmb_v10_0.xdc] for cell 'mbsys_i/microblaze_0_local_memory/dlmb_v10/U0' Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_ilmb_v10_0/mbsys_ilmb_v10_0.xdc] for cell 'mbsys_i/microblaze_0_local_memory/ilmb_v10/U0' Finished Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_ilmb_v10_0/mbsys_ilmb_v10_0.xdc] for cell 'mbsys_i/microblaze_0_local_memory/ilmb_v10/U0' Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_microblaze_0_axi_intc_0/mbsys_microblaze_0_axi_intc_0.xdc] for cell 'mbsys_i/microblaze_0_axi_intc/U0' Finished Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_microblaze_0_axi_intc_0/mbsys_microblaze_0_axi_intc_0.xdc] for cell 'mbsys_i/microblaze_0_axi_intc/U0' Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_mdm_1_0/mbsys_mdm_1_0.xdc] for cell 'mbsys_i/mdm_1/U0' Finished Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_mdm_1_0/mbsys_mdm_1_0.xdc] for cell 'mbsys_i/mdm_1/U0' Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_clk_wiz_1_0/mbsys_clk_wiz_1_0_board.xdc] for cell 'mbsys_i/clk_wiz_1/U0' Finished Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_clk_wiz_1_0/mbsys_clk_wiz_1_0_board.xdc] for cell 'mbsys_i/clk_wiz_1/U0' Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_clk_wiz_1_0/mbsys_clk_wiz_1_0.xdc] for cell 'mbsys_i/clk_wiz_1/U0' Finished Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_clk_wiz_1_0/mbsys_clk_wiz_1_0.xdc] for cell 'mbsys_i/clk_wiz_1/U0' Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_rst_clk_wiz_1_100M_0/mbsys_rst_clk_wiz_1_100M_0_board.xdc] for cell 'mbsys_i/rst_clk_wiz_1_100M' Finished Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_rst_clk_wiz_1_100M_0/mbsys_rst_clk_wiz_1_100M_0_board.xdc] for cell 'mbsys_i/rst_clk_wiz_1_100M' Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_rst_clk_wiz_1_100M_0/mbsys_rst_clk_wiz_1_100M_0.xdc] for cell 'mbsys_i/rst_clk_wiz_1_100M' Finished Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_rst_clk_wiz_1_100M_0/mbsys_rst_clk_wiz_1_100M_0.xdc] for cell 'mbsys_i/rst_clk_wiz_1_100M' Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_timer_0_0/mbsys_axi_timer_0_0.xdc] for cell 'mbsys_i/axi_timer_0' Finished Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_timer_0_0/mbsys_axi_timer_0_0.xdc] for cell 'mbsys_i/axi_timer_0' Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/bd_0_eth_buf_0_board.xdc] for cell 'mbsys_i/axi_ethernet_0/U0/eth_buf/U0' Finished Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/bd_0_eth_buf_0_board.xdc] for cell 'mbsys_i/axi_ethernet_0/U0/eth_buf/U0' Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/bd_0_eth_mac_0_board.xdc] for cell 'mbsys_i/axi_ethernet_0/U0/eth_mac/U0' Finished Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/bd_0_eth_mac_0_board.xdc] for cell 'mbsys_i/axi_ethernet_0/U0/eth_mac/U0' Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/bd_0_eth_mac_0.xdc] for cell 'mbsys_i/axi_ethernet_0/U0/eth_mac/U0' Finished Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/bd_0_eth_mac_0.xdc] for cell 'mbsys_i/axi_ethernet_0/U0/eth_mac/U0' INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/bd_0_eth_mac_0.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/toplevel_propImpl.xdc]. Resolution: To avoid this warning, move constraints listed in [.Xil/toplevel_propImpl.xdc] to anoth XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/bd_0_pcs_pma_0_board.xdc] for cell 'mbsys_i/axi_ethernet_0/U0/pcs_pma' Finished Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/bd_0_pcs_pma_0_board.xdc] for cell 'mbsys_i/axi_ethernet_0/U0/pcs_pma' Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0.xdc] for cell 'mbsys_i/axi_ethernet_0/U0/pcs_pma' INFO: [Timing 38-2] Deriving generated clocks [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0.xdc:44] Finished Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0.xdc] for cell 'mbsys_i/axi_ethernet_0/U0/pcs_pma' INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/bd_0_pcs_pma_0.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/toplevel_propImpl.xdc]. Resolution: To avoid this warning, move constraints listed in [.Xil/toplevel_propImpl.xdc] to anoth XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. Parsing XDC File [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/constrs_1/imports/new/toplevel.xdc] Finished Parsing XDC File [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/constrs_1/imports/new/toplevel.xdc] INFO: [Timing 38-2] Deriving generated clocks INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/constrs_1/imports/new/toplevel.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/toplevel_propImpl.xdc]. Resolution: To avoid this warning, move constraints listed in [.Xil/toplevel_propImpl.xdc] to anoth XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. Parsing XDC File [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc] Finished Parsing XDC File [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc] INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/toplevel_propImpl.xdc]. Resolution: To avoid this warning, move constraints listed in [.Xil/toplevel_propImpl.xdc] to anoth XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_microblaze_0_axi_intc_0/mbsys_microblaze_0_axi_intc_0_clocks.xdc] for cell 'mbsys_i/microblaze_0_axi_intc/U0' Finished Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_microblaze_0_axi_intc_0/mbsys_microblaze_0_axi_intc_0_clocks.xdc] for cell 'mbsys_i/microblaze_0_axi_intc/U0' Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/synth/bd_0_eth_buf_0.xdc] for cell 'mbsys_i/axi_ethernet_0/U0/eth_buf/U0' Finished Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/synth/bd_0_eth_buf_0.xdc] for cell 'mbsys_i/axi_ethernet_0/U0/eth_buf/U0' INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/synth/bd_0_eth_buf_0.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/toplevel_propImpl.xdc]. Resolution: To avoid this warning, move constraints listed in [.Xil/toplevel_propImpl.xdc] to anoth XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/bd_0_eth_mac_0_clocks.xdc] for cell 'mbsys_i/axi_ethernet_0/U0/eth_mac/U0' Finished Parsing XDC File [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/bd_0_eth_mac_0_clocks.xdc] for cell 'mbsys_i/axi_ethernet_0/U0/eth_mac/U0' INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/bd_0_eth_mac_0_clocks.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/toplevel_propImpl.xdc]. Resolution: To avoid this warning, move constraints listed in [.Xil/toplevel_propImpl.xdc] to anoth XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. Completed Processing XDC Constraints INFO: [Project 1-111] Unisim Transformation Summary: A total of 1041 instances were transformed. FD => FDRE: 237 instances FDC_1 => FDCE (inverted pins: C): 1 instances FDE => FDRE: 32 instances FDP => FDPE: 54 instances FDR => FDRE: 157 instances FDRE_1 => FDRE (inverted pins: C): 1 instances FDRS => FDRS (FDRE, LUT2, VCC): 1 instances FDRSE => FDRSE (FDRE, LUT4, VCC): 26 instances FDS => FDSE: 3 instances IOBUF => IOBUF (IBUF, OBUFT): 1 instances LUT6_2 => LUT6_2 (LUT6, LUT5): 96 instances MULT_AND => LUT2: 11 instances MUXCY_L => MUXCY: 241 instances OBUFDS => OBUFDS_DUAL_BUF (OBUFDS, OBUFDS, INV): 1 instances RAM32M => RAM32M (RAMS32, RAMS32, RAMD32, RAMD32, RAMD32, RAMD32, RAMD32, RAMD32): 16 instances RAM64X1D => RAM64X1D (RAMD64E, RAMD64E): 160 instances SRL16 => SRL16E: 3 instances Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.070 . Memory (MB): peak = 1021.820 ; gain = 0.000 --------------------------------------------------------------------------------- Finished Constraint Validation : Time (s): cpu = 00:09:45 ; elapsed = 00:09:56 . Memory (MB): peak = 1022.816 ; gain = 860.035 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Loading Part and Timing Information --------------------------------------------------------------------------------- Loading part: xc7a200tfbg484-2 --------------------------------------------------------------------------------- Finished Loading Part and Timing Information : Time (s): cpu = 00:09:45 ; elapsed = 00:09:56 . Memory (MB): peak = 1022.816 ; gain = 860.035 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying 'set_property' XDC Constraints --------------------------------------------------------------------------------- Applied set_property DONT_TOUCH = true for mbsys_i. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 7). Applied set_property DONT_TOUCH = true for mbsys_i/microblaze_0. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 10). Applied set_property DONT_TOUCH = true for mbsys_i/microblaze_0_local_memory/dlmb_v10. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 13). Applied set_property DONT_TOUCH = true for mbsys_i/microblaze_0_local_memory/ilmb_v10. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 16). Applied set_property DONT_TOUCH = true for mbsys_i/microblaze_0_local_memory/dlmb_bram_if_cntlr. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 19). Applied set_property DONT_TOUCH = true for mbsys_i/microblaze_0_local_memory/ilmb_bram_if_cntlr. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 22). Applied set_property DONT_TOUCH = true for mbsys_i/microblaze_0_local_memory/lmb_bram. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 25). Applied set_property DONT_TOUCH = true for mbsys_i/microblaze_0_axi_periph. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 28). Applied set_property DONT_TOUCH = true for mbsys_i/microblaze_0_axi_intc. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 31). Applied set_property DONT_TOUCH = true for mbsys_i/microblaze_0_xlconcat. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 34). Applied set_property DONT_TOUCH = true for mbsys_i/mdm_1. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 37). Applied set_property DONT_TOUCH = true for mbsys_i/clk_wiz_1. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 40). Applied set_property DONT_TOUCH = true for mbsys_i/rst_clk_wiz_1_100M. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 43). Applied set_property DONT_TOUCH = true for mbsys_i/axi_timer_0. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 46). Applied set_property DONT_TOUCH = true for mbsys_i/microblaze_0_axi_periph/xbar. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 49). Applied set_property DONT_TOUCH = true for mbsys_i/axi_ethernet_0. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 52). Applied set_property DONT_TOUCH = true for mbsys_i/axi_ethernet_0/U0. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 55). Applied set_property DONT_TOUCH = true for mbsys_i/axi_ethernet_0/U0/eth_buf. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 58). Applied set_property DONT_TOUCH = true for mbsys_i/axi_ethernet_0/U0/eth_mac. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 61). Applied set_property DONT_TOUCH = true for mbsys_i/axi_ethernet_0/U0/pcs_pma. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 64). Applied set_property DONT_TOUCH = true for mbsys_i/axi_ethernet_0_fifo. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 67). Applied set_property DONT_TOUCH = true for mbsys_i/microblaze_0/U0. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 70). Applied set_property DONT_TOUCH = true for mbsys_i/microblaze_0_local_memory/dlmb_v10/U0. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 75). Applied set_property DONT_TOUCH = true for mbsys_i/microblaze_0_local_memory/ilmb_v10/U0. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 80). Applied set_property DONT_TOUCH = true for mbsys_i/microblaze_0_axi_intc/U0. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 91). Applied set_property DONT_TOUCH = true for mbsys_i/mdm_1/U0. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 99). Applied set_property DONT_TOUCH = true for mbsys_i/clk_wiz_1/U0. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 104). Applied set_property DONT_TOUCH = true for mbsys_i/axi_ethernet_0/U0/eth_buf/U0. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 127). Applied set_property DONT_TOUCH = true for mbsys_i/axi_ethernet_0/U0/eth_mac/U0. (constraint file C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.runs/synth_1/dont_touch.xdc, line 135). --------------------------------------------------------------------------------- Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:09:48 ; elapsed = 00:09:59 . Memory (MB): peak = 1022.816 ; gain = 860.035 --------------------------------------------------------------------------------- ROM "Intrpt" won't be mapped to RAM because it is too sparse. ROM "s_axi_rresp_i" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "s_axi_rresp_i" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "s_axi_bresp_i" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "s_axi_bresp_i" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "leaving_empty_fwft" won't be mapped to Block RAM because address size (2) smaller than threshold (5) INFO: [Synth 8-3537] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the inputs of the operator [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:8640] INFO: [Synth 8-802] inferred FSM for state register 'rxs_axistream_current_state_reg' in module 'rx_axistream_if__parameterized0' INFO: [Synth 8-802] inferred FSM for state register 'rxd_axistream_current_state_reg' in module 'rx_axistream_if__parameterized0' ROM "AXI_STR_RXS_VALID" won't be mapped to RAM because it is too sparse. ROM "AXI_STR_RXS_LAST" won't be mapped to RAM because it is too sparse. ROM "AXI_STR_RXS_DPMEM_WR_EN" won't be mapped to RAM because it is too sparse. ROM "rxs_mem_last_read_out_ptr_true_cmb" won't be mapped to RAM because it is too sparse. ROM "rxs_mem_next_available4write_ptr_1_cmb" won't be mapped to RAM because it is too sparse. ROM "rxd_mem_next_available4write_ptr_1_cmb" won't be mapped to RAM because it is too sparse. ROM size is below threshold of ROM address width. It will be mapped to LUTs ROM "rxs_status_word_1" won't be mapped to RAM because it is too sparse. ROM "rxs_status_word_2" won't be mapped to RAM because it is too sparse. ROM "rxs_status_word_3" won't be mapped to RAM because it is too sparse. ROM "rxs_status_word_4" won't be mapped to RAM because it is too sparse. ROM "rxs_status_word_5" won't be mapped to RAM because it is too sparse. ROM "rxs_status_word_6" won't be mapped to RAM because it is too sparse. ROM "rxs2rxd_frame_done" won't be mapped to RAM because it is too sparse. ROM "rxs_axistream_next_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "rxs_axistream_next_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "rxs_axistream_next_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "rxs_axistream_next_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "rxs_axistream_next_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "rxs_axistream_next_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "rxs_axistream_next_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "rxs_axistream_next_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "rxs_axistream_next_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "rxs_axistream_next_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "rxs_axistream_next_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "rxs_axistream_next_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "fifoWrEn" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "rxd_addr_cntr_en" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "rxd_addr_cntr_load" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "rxd2rxs_frame_done" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "rxd_axistream_next_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "rxd_axistream_next_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "rxd_axistream_next_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "rxd_axistream_next_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-802] inferred FSM for state register 'receive_frame_current_state_reg' in module 'rx_emac_if__parameterized0' ROM "rx_data_packed_ready" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "rxd_addr_cntr_load" won't be mapped to RAM because it is too sparse. ROM "rxd_addr_cntr_en" won't be mapped to RAM because it is too sparse. ROM size is below threshold of ROM address width. It will be mapped to LUTs ROM "receive_frame_next_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "receive_frame_next_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "receive_frame_next_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "receive_frame_next_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-3537] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the inputs of the operator [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:25422] INFO: [Synth 8-802] inferred FSM for state register 'txc_wr_cs_reg' in module 'tx_basic_if__parameterized0' ROM "end_addr_byte_offset" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "Axi_Str_TxD_2_Mem_We" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "inc_txd_addr_one" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "init_bram" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "clr_txc_trdy2" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "txc_wr_ns" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "txc_wr_ns" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "txc_wr_ns" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "txc_wr_ns" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "txc_wr_ns" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "txc_wr_ns" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "txc_wr_ns" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "txc_wr_ns" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "txc_wr_ns" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "txc_wr_ns" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-3537] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the inputs of the operator [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:26603] INFO: [Synth 8-802] inferred FSM for state register 'txc_rd_cs_reg' in module 'tx_emac_if__parameterized0' ROM "txd_rd_addr" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "set_start_txd_fsm" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "set_txc_addr1" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "set_txc_addr2" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "txc_rd_ns" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "txc_rd_ns" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-802] inferred FSM for state register 'access_cs_reg' in module 'bd_0_eth_mac_0_slave_attachment__parameterized0' ROM "rx_mult_64" won't be mapped to RAM because it is too sparse. ROM "tx_mult_64" won't be mapped to RAM because it is too sparse. ROM "rx_mult_64" won't be mapped to RAM because it is too sparse. ROM "tx_mult_64" won't be mapped to RAM because it is too sparse. ROM "ip2bus_data" won't be mapped to Block RAM because address size (4) smaller than threshold (5) INFO: [Synth 8-802] inferred FSM for state register 'tx_state_reg' in module 'tri_mode_ethernet_mac_v8_3_tx_axi_intf' ROM "next_tx_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "next_tx_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "next_tx_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-4471] merging register 'rx_av_b_tuser_reg' into 'rx_av_a_tuser_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:15412] INFO: [Synth 8-4471] merging register 'rx_ptp_tuser_reg' into 'rx_av_a_tuser_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:15413] INFO: [Synth 8-4471] merging register 'rx_legacy_tuser_reg' into 'rx_av_a_tuser_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:15414] INFO: [Synth 8-4471] merging register 'priority_counter[1].tvalid_int_reg[1:1]' into 'priority_counter[0].tvalid_int_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:8741] INFO: [Synth 8-4471] merging register 'priority_counter[2].tvalid_int_reg[2:2]' into 'priority_counter[0].tvalid_int_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:8741] INFO: [Synth 8-4471] merging register 'priority_counter[3].tvalid_int_reg[3:3]' into 'priority_counter[0].tvalid_int_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:8741] INFO: [Synth 8-4471] merging register 'priority_counter[4].tvalid_int_reg[4:4]' into 'priority_counter[0].tvalid_int_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:8741] INFO: [Synth 8-4471] merging register 'priority_counter[5].tvalid_int_reg[5:5]' into 'priority_counter[0].tvalid_int_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:8741] INFO: [Synth 8-4471] merging register 'priority_counter[6].tvalid_int_reg[6:6]' into 'priority_counter[0].tvalid_int_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:8741] INFO: [Synth 8-4471] merging register 'priority_counter[7].tvalid_int_reg[7:7]' into 'priority_counter[0].tvalid_int_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:8741] ROM "pause_value" won't be mapped to RAM because it is too sparse. ROM "pause_opcode_early" won't be mapped to RAM because it is too sparse. ROM "check_opcode" won't be mapped to RAM because it is too sparse. ROM "pause_req_int" won't be mapped to RAM because it is too sparse. ROM "bad_fc_opcode_int" won't be mapped to RAM because it is too sparse. ROM "state_count" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "data_avail_control" won't be mapped to RAM because it is too sparse. ROM "sample_int" won't be mapped to RAM because it is too sparse. INFO: [Synth 8-4471] merging register 'priority_fsm[0].priority_req_reg[0:0]' into 'priority_fsm[0].quanta_low_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9060] INFO: [Synth 8-4471] merging register 'priority_fsm[1].quanta_low_reg[1:1]' into 'priority_fsm[0].quanta_low_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9061] INFO: [Synth 8-4471] merging register 'priority_fsm[1].priority_req_reg[1:1]' into 'priority_fsm[0].quanta_low_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9060] INFO: [Synth 8-4471] merging register 'priority_fsm[2].quanta_low_reg[2:2]' into 'priority_fsm[0].quanta_low_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9061] INFO: [Synth 8-4471] merging register 'priority_fsm[2].priority_req_reg[2:2]' into 'priority_fsm[0].quanta_low_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9060] INFO: [Synth 8-4471] merging register 'priority_fsm[3].quanta_low_reg[3:3]' into 'priority_fsm[0].quanta_low_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9061] INFO: [Synth 8-4471] merging register 'priority_fsm[3].priority_req_reg[3:3]' into 'priority_fsm[0].quanta_low_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9060] INFO: [Synth 8-4471] merging register 'priority_fsm[4].quanta_low_reg[4:4]' into 'priority_fsm[0].quanta_low_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9061] INFO: [Synth 8-4471] merging register 'priority_fsm[4].priority_req_reg[4:4]' into 'priority_fsm[0].quanta_low_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9060] INFO: [Synth 8-4471] merging register 'priority_fsm[5].quanta_low_reg[5:5]' into 'priority_fsm[0].quanta_low_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9061] INFO: [Synth 8-4471] merging register 'priority_fsm[5].priority_req_reg[5:5]' into 'priority_fsm[0].quanta_low_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9060] INFO: [Synth 8-4471] merging register 'priority_fsm[6].quanta_low_reg[6:6]' into 'priority_fsm[0].quanta_low_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9061] INFO: [Synth 8-4471] merging register 'priority_fsm[6].priority_req_reg[6:6]' into 'priority_fsm[0].quanta_low_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9060] INFO: [Synth 8-4471] merging register 'priority_fsm[7].quanta_low_reg[7:7]' into 'priority_fsm[0].quanta_low_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9061] INFO: [Synth 8-4471] merging register 'priority_fsm[7].priority_req_reg[7:7]' into 'priority_fsm[0].quanta_low_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:9060] INFO: [Synth 8-802] inferred FSM for state register 'legacy_state_reg' in module 'tri_mode_ethernet_mac_v8_3_pfc_tx_cntl' ROM "pause_req" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "pfc_valid" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "next_legacy_state" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "EQUAL" won't be mapped to RAM because it is too sparse. ROM "EQUAL" won't be mapped to RAM because it is too sparse. ROM "EQUAL" won't be mapped to RAM because it is too sparse. ROM "EQUAL" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "EQUAL" won't be mapped to RAM because it is too sparse. ROM "EQUAL" won't be mapped to RAM because it is too sparse. ROM "EQUAL" won't be mapped to RAM because it is too sparse. ROM "MAX_LENGTH_ERR" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "EQUAL" won't be mapped to RAM because it is too sparse. ROM "SFD_FLAG_EARLY" won't be mapped to RAM because it is too sparse. ROM "EQUAL" won't be mapped to RAM because it is too sparse. ROM "EQUAL" won't be mapped to RAM because it is too sparse. ROM "EQUAL" won't be mapped to RAM because it is too sparse. ROM "EQUAL" won't be mapped to RAM because it is too sparse. INFO: [Synth 8-4471] merging register 'int_rx_inband_ts_enable_reg' into 'int_rx_half_duplex_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:10834] INFO: [Synth 8-4471] merging register 'int_tx_inband_cf_enable_reg' into 'int_tx_half_duplex_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:10835] INFO: [Synth 8-4471] merging register 'int_rx_priority_en_reg[7:0]' into 'int_tx_priority_en_reg[7:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:10841] ROM "int_rx_en" won't be mapped to RAM because it is too sparse. ROM "int_rx_frame_enable" won't be mapped to RAM because it is too sparse. ROM "int_rx_pause_ad" won't be mapped to RAM because it is too sparse. ROM "int_tx_en" won't be mapped to RAM because it is too sparse. ROM "int_tx_frame_enable" won't be mapped to RAM because it is too sparse. ROM "int_fc_en" won't be mapped to RAM because it is too sparse. ROM "int_ma_clk_divide" won't be mapped to RAM because it is too sparse. ROM "int_ma_mdio_phyad" won't be mapped to RAM because it is too sparse. ROM "int_ma_tx_data" won't be mapped to RAM because it is too sparse. ROM "int_tx_latency_adjust" won't be mapped to RAM because it is too sparse. ROM "int_speed" won't be mapped to RAM because it is too sparse. ROM "mdio_data" won't be mapped to RAM because it is too sparse. ROM "rd_data" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "rd_data" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "rd_data" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "rd_data" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "rd_data" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "rd_data" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "rd_data" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "rd_data" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "rd_data" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "rd_data" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "rd_data" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "rd_data" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "rd_data" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "rd_data" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "rd_data" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "rd_data" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "done" won't be mapped to RAM because it is too sparse. INFO: [Synth 8-4471] merging register 'rx_filter_match_reg[4:4]' into 'configurable_match_cap_reg[4:4]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:13350] INFO: [Synth 8-4471] merging register 'ram_compare_wr_reg[4:4]' into 'ram_field_wr_reg[4:4]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:13602] INFO: [Synth 8-4471] merging register 'rx_av_a_match_reg' into 'rx_ptp_match_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:13335] INFO: [Synth 8-4471] merging register 'rx_av_b_match_reg' into 'rx_ptp_match_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.v:13336] ROM "unicast_match_cap" won't be mapped to RAM because it is too sparse. ROM "ram_field_wr_uc" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "broadcast_byte_match" won't be mapped to RAM because it is too sparse. ROM "ram_field_wr" won't be mapped to RAM because it is too sparse. ROM "ram_compare_wr" won't be mapped to RAM because it is too sparse. ROM "ram_field_wr" won't be mapped to RAM because it is too sparse. ROM "ram_compare_wr" won't be mapped to RAM because it is too sparse. ROM "ram_field_wr" won't be mapped to RAM because it is too sparse. ROM "ram_compare_wr" won't be mapped to RAM because it is too sparse. ROM "ram_field_wr" won't be mapped to RAM because it is too sparse. ROM "ram_compare_wr" won't be mapped to RAM because it is too sparse. ROM "sfd_aligned" won't be mapped to RAM because it is too sparse. ROM "XMIT_CONFIG_INT" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "EXT_CODE" won't be mapped to RAM because it is too sparse. ROM "XMIT_CONFIG_INT" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "EXT_CODE" won't be mapped to RAM because it is too sparse. ROM "CONFIG_DATA" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "TX_CONFIG" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "C1_OR_C2" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "TXDATA" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-802] inferred FSM for state register 'STATE_reg' in module 'SYNCHRONISE' ROM "NEXT_STATE" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "NEXT_STATE" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "NEXT_STATE" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "NEXT_STATE" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "NEXT_STATE" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "NEXT_STATE" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "NEXT_STATE" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "NEXT_STATE" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "NEXT_STATE" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "NEXT_STATE" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "NEXT_STATE" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "NEXT_STATE" won't be mapped to Block RAM because address size (2) smaller than threshold (5) INFO: [Synth 8-802] inferred FSM for state register 'STATE_reg' in module 'MDIO_INTERFACE' ROM "BIT_COUNT_LOAD_VALUE" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "BIT_COUNT_LOAD_EN" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "WE" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "ADDRESS_MATCH" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "NEW_STATE" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "NEW_STATE" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "NEW_STATE" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "NEW_STATE" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "RX_CONFIG_REG_NULL" won't be mapped to RAM because it is too sparse. ROM "MR_AN_COMPLETE" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "TX_CONFIG_REG_INT" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "TX_CONFIG_VALID" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "TOGGLE_TX" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "BASE_OR_NP" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "BASE_OR_NP" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "MASK_RUDI_BUFERR" won't be mapped to RAM because it is too sparse. INFO: [Synth 8-802] inferred FSM for state register 'USE_ROCKET_IO.TX_RST_SM_reg' in module 'GPCS_PMA_GEN' INFO: [Synth 8-802] inferred FSM for state register 'USE_ROCKET_IO.RX_RST_SM_reg' in module 'GPCS_PMA_GEN' ROM "MGT_TX_RESET_INT" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "TX_RST_SM" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "MGT_RX_RESET_INT" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "RX_RST_SM" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "flag" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "flag" won't be mapped to Block RAM because address size (4) smaller than threshold (5) INFO: [Synth 8-802] inferred FSM for state register 'tx_state_reg' in module 'bd_0_pcs_pma_0_TX_STARTUP_FSM__parameterized0' ROM "init_wait_done" won't be mapped to RAM because it is too sparse. ROM "init_wait_count" won't be mapped to RAM because it is too sparse. ROM "time_out_counter" won't be mapped to RAM because address size (20) is larger than maximum supported(18) ROM "time_out_2ms" won't be mapped to RAM because address size (20) is larger than maximum supported(18) ROM "time_tlock_max" won't be mapped to RAM because address size (20) is larger than maximum supported(18) ROM "time_out_500us" won't be mapped to RAM because address size (20) is larger than maximum supported(18) ROM "wait_bypass_count" won't be mapped to RAM because it is too sparse. ROM "time_out_wait_bypass" won't be mapped to RAM because it is too sparse. ROM "wait_time_done" won't be mapped to RAM because it is too sparse. ROM "TXUSERRDY" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "gttxreset_i" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "MMCM_RESET" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "tx_fsm_reset_done_int" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "PLL0_RESET_reg" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "run_phase_alignment_int" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "tx_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "tx_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "tx_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "tx_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-4471] merging register 'PLL1_RESET_reg' into 'PLL0_RESET_reg_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_rx_startup_fsm.vhd:603] INFO: [Synth 8-802] inferred FSM for state register 'rx_state_reg' in module 'bd_0_pcs_pma_0_RX_STARTUP_FSM__parameterized0' ROM "init_wait_done" won't be mapped to RAM because it is too sparse. ROM "init_wait_count" won't be mapped to RAM because it is too sparse. ROM "time_out_counter" won't be mapped to RAM because address size (21) is larger than maximum supported(18) ROM "time_out_2ms" won't be mapped to RAM because address size (21) is larger than maximum supported(18) ROM "time_out_1us" won't be mapped to RAM because address size (21) is larger than maximum supported(18) ROM "time_out_100us" won't be mapped to RAM because address size (21) is larger than maximum supported(18) ROM "wait_bypass_count" won't be mapped to RAM because it is too sparse. ROM "time_out_wait_bypass" won't be mapped to RAM because it is too sparse. ROM "wait_time_done" won't be mapped to RAM because it is too sparse. ROM "retry_counter_int" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "gtrxreset_i" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "mmcm_reset_i" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "run_phase_alignment_int" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "rx_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "rx_state" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "rx_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "rx_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "rx_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "rx_state" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "gt0_rx_cdrlocked" won't be mapped to RAM because it is too sparse. ROM "initialize_ram_complete" won't be mapped to RAM because it is too sparse. ROM "s_axi_rresp_i" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "s_axi_rresp_i" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "s_axi_bresp_i" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "s_axi_bresp_i" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "leaving_empty_fwft" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "s_axi_rresp_i" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "s_axi_rresp_i" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "s_axi_bresp_i" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "s_axi_bresp_i" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "buffer_Full" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "buffer_Empty" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "mb_data_overrun" won't be mapped to RAM because it is too sparse. ROM "fifo_Write" won't be mapped to RAM because it is too sparse. ROM "fifo_Read" won't be mapped to RAM because it is too sparse. ROM "s_axi_rresp_i" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "s_axi_rresp_i" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "s_axi_bresp_i" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "s_axi_bresp_i" won't be mapped to Block RAM because address size (2) smaller than threshold (5) INFO: [Synth 8-4471] merging register 'ex_MSR_clear_decode_reg' into 'ex_MSR_set_decode_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:41073] INFO: [Synth 8-4471] merging register 'WB_Sel_SPR_SHR_reg' into 'ex_MSR_set_decode_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:43811] INFO: [Synth 8-4471] merging register 'ex_Sel_SPR_EAR_reg' into 'ex_Sel_SPR_ESR_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:41096] INFO: [Synth 8-4471] merging register 'ex_Sel_SPR_EDR_reg' into 'ex_Sel_SPR_ESR_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:41097] INFO: [Synth 8-4471] merging register 'ex_Sel_SPR_PVR_reg' into 'ex_Sel_SPR_ESR_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:41099] INFO: [Synth 8-4471] merging register 'ex_Sel_SPR_BTR_reg' into 'ex_Sel_SPR_ESR_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:41100] INFO: [Synth 8-4471] merging register 'ex_Sel_SPR_SLR_reg' into 'ex_Sel_SPR_ESR_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:41101] INFO: [Synth 8-4471] merging register 'ex_Sel_SPR_SHR_reg' into 'ex_Sel_SPR_ESR_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:41102] INFO: [Synth 8-4471] merging register 'ex_Interrupt_i_reg' into 'ex_Interrupt_Brk_combo_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:41264] INFO: [Synth 8-4471] merging register 'WB_Sel_SPR_EAR_reg' into 'WB_Sel_SPR_ESR_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:43805] INFO: [Synth 8-4471] merging register 'WB_Sel_SPR_EDR_reg' into 'WB_Sel_SPR_ESR_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:43806] INFO: [Synth 8-4471] merging register 'WB_Sel_SPR_BTR_reg' into 'WB_Sel_SPR_ESR_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:43809] INFO: [Synth 8-4471] merging register 'WB_Sel_SPR_SLR_reg' into 'WB_Sel_SPR_ESR_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:43810] INFO: [Synth 8-4471] merging register 'ex_potential_exception_reg' into 'EX_CLZ_Instr_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:44011] INFO: [Synth 8-4471] merging register 'wb_clr_ESR_l_reg' into 'WB_Sel_SPR_ESR_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:44678] INFO: [Synth 8-4471] merging register 'mem_Sel_SPR_EAR_I_reg' into 'mem_Sel_SPR_ESR_I_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:43415] INFO: [Synth 8-4471] merging register 'mem_Sel_SPR_EDR_I_reg' into 'mem_Sel_SPR_ESR_I_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:43416] INFO: [Synth 8-4471] merging register 'mem_Sel_SPR_PVR_I_reg' into 'mem_Sel_SPR_ESR_I_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:43418] INFO: [Synth 8-4471] merging register 'mem_Sel_SPR_BTR_I_reg' into 'mem_Sel_SPR_ESR_I_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:43419] INFO: [Synth 8-4471] merging register 'mem_Sel_SPR_SLR_I_reg' into 'mem_Sel_SPR_ESR_I_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:43420] INFO: [Synth 8-4471] merging register 'mem_Sel_SPR_SHR_I_reg' into 'mem_Sel_SPR_ESR_I_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:43421] INFO: [Synth 8-4471] merging register 'ex_Write_DCache_decode_reg' into 'ex_Write_ICache_i_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:42945] ROM "EX_CMP_Op" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "EX_Pattern_Cmp_Sel" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "EX_SWAP_Instr" won't be mapped to RAM because it is too sparse. ROM "ex_gpr_write" won't be mapped to RAM because it is too sparse. ROM "ex_move_to_MSR_instr" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "ex_move_to_FSR_instr" won't be mapped to Block RAM because address size (3) smaller than threshold (5) INFO: [Synth 8-3537] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the inputs of the operator [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:23767] INFO: [Synth 8-3537] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the inputs of the operator [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:24155] ROM "mem_Exp_Res_2" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "mem_Res_Type_3" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "mem_Res_Type_3" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "mem_Res_Type_6_cmb" won't be mapped to Block RAM because address size (1) smaller than threshold (5) INFO: [Synth 8-3537] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the inputs of the operator [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:10973] INFO: [Synth 8-4471] merging register 'Performace_Debug_Control.ex_pc_brk_reg' into 'Performace_Debug_Control.ex_dbg_hit_reg[0:0]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:48155] ROM "Status_Reg_En" won't be mapped to RAM because it is too sparse. ROM "Data_Read_Reg_En" won't be mapped to RAM because it is too sparse. ROM "Config_Reg_En" won't be mapped to RAM because it is too sparse. ROM "Instr_Insert_Reg_En" won't be mapped to RAM because it is too sparse. ROM "reset_mode_dbg_halt" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "reset_mode_sleep" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "Control_Reg_En" won't be mapped to RAM because it is too sparse. ROM "New_Dbg_Instr_TCK" won't be mapped to RAM because it is too sparse. ROM "Command_Reg_En" won't be mapped to RAM because it is too sparse. ROM "which_pc" won't be mapped to RAM because it is too sparse. ROM "ivr_out" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "iar" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "iar" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "iar" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "iar" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "iar" won't be mapped to Block RAM because address size (4) smaller than threshold (5) ROM "in_idle" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "Irq_i" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "s_axi_rresp_i" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "s_axi_rresp_i" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "s_axi_bresp_i" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "s_axi_bresp_i" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "p_2_out0" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "p_0_in6" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "p_0_in5" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "p_0_in4" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "p_0_in3" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "p_0_in2" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "p_0_in1" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "p_2_out0" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "p_0_in6" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "p_0_in5" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "p_0_in4" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "p_0_in3" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "p_0_in2" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "p_0_in1" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "p_2_out0" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "p_0_in6" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "p_0_in5" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "p_0_in4" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "p_0_in3" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "p_0_in2" won't be mapped to Block RAM because address size (3) smaller than threshold (5) ROM "p_0_in1" won't be mapped to Block RAM because address size (3) smaller than threshold (5) INFO: [Synth 8-4471] merging register 'gen_axilite.s_axi_wready_i_reg' into 'gen_axilite.s_axi_awready_i_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_crossbar_v2_1/da4c95fc/hdl/verilog/axi_crossbar_v2_1_decerr_slave.v:136] INFO: [Synth 8-4471] merging register 'seq_cnt_en_reg' into 'from_sys_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/proc_sys_reset_v5_0/7820e39a/hdl/src/vhdl/sequence.vhd:222] INFO: [Synth 8-3354] encoded FSM with state register 'rxs_axistream_current_state_reg' using encoding 'sequential' in module 'rx_axistream_if__parameterized0' INFO: [Synth 8-3354] encoded FSM with state register 'rxd_axistream_current_state_reg' using encoding 'sequential' in module 'rx_axistream_if__parameterized0' WARNING: [Synth 8-3848] Net saveExtendedMulticastReject in module/entity rx_emac_if__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:5323] WARNING: [Synth 8-3848] Net rxCsumVld in module/entity rx_emac_if__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:5319] INFO: [Synth 8-3354] encoded FSM with state register 'receive_frame_current_state_reg' using encoding 'sequential' in module 'rx_emac_if__parameterized0' INFO: [Synth 8-3354] encoded FSM with state register 'txc_wr_cs_reg' using encoding 'sequential' in module 'tx_basic_if__parameterized0' INFO: [Synth 8-3354] encoded FSM with state register 'txc_rd_cs_reg' using encoding 'sequential' in module 'tx_emac_if__parameterized0' INFO: [Synth 8-3354] encoded FSM with state register 'access_cs_reg' using encoding 'sequential' in module 'bd_0_eth_mac_0_slave_attachment__parameterized0' INFO: [Synth 8-3354] encoded FSM with state register 'tx_state_reg' using encoding 'sequential' in module 'tri_mode_ethernet_mac_v8_3_tx_axi_intf' INFO: [Synth 8-3354] encoded FSM with state register 'legacy_state_reg' using encoding 'one-hot' in module 'tri_mode_ethernet_mac_v8_3_pfc_tx_cntl' INFO: [Synth 8-3354] encoded FSM with state register 'STATE_reg' using encoding 'sequential' in module 'SYNCHRONISE' INFO: [Synth 8-3354] encoded FSM with state register 'STATE_reg' using encoding 'one-hot' in module 'MDIO_INTERFACE' INFO: [Synth 8-3354] encoded FSM with state register 'USE_ROCKET_IO.RX_RST_SM_reg' using encoding 'sequential' in module 'GPCS_PMA_GEN' INFO: [Synth 8-3354] encoded FSM with state register 'USE_ROCKET_IO.TX_RST_SM_reg' using encoding 'sequential' in module 'GPCS_PMA_GEN' INFO: [Synth 8-3354] encoded FSM with state register 'tx_state_reg' using encoding 'sequential' in module 'bd_0_pcs_pma_0_TX_STARTUP_FSM__parameterized0' INFO: [Synth 8-3354] encoded FSM with state register 'rx_state_reg' using encoding 'sequential' in module 'bd_0_pcs_pma_0_RX_STARTUP_FSM__parameterized0' WARNING: [Synth 8-3848] Net gt0_dmonitorout_out in module/entity bd_0_pcs_pma_0_GTWIZARD__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_gtwizard.vhd:191] WARNING: [Synth 8-3848] Net gt0_dmonitorout_out in module/entity bd_0_pcs_pma_0_transceiver__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_2/synth/transceiver/bd_0_pcs_pma_0_transceiver.vhd:142] WARNING: [Synth 8-3848] Net axi_aw_prog_full_thresh_axi_fifo in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:231] WARNING: [Synth 8-3848] Net axi_aw_prog_empty_thresh_axi_fifo in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:232] WARNING: [Synth 8-3848] Net axi_w_prog_full_thresh_axi_fifo in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:242] WARNING: [Synth 8-3848] Net axi_w_prog_empty_thresh_axi_fifo in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:243] WARNING: [Synth 8-3848] Net axi_b_prog_full_thresh_axi_fifo in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:253] WARNING: [Synth 8-3848] Net axi_b_prog_empty_thresh_axi_fifo in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:254] WARNING: [Synth 8-3848] Net axi_ar_prog_full_thresh_axi_fifo in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:264] WARNING: [Synth 8-3848] Net axi_ar_prog_empty_thresh_axi_fifo in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:265] WARNING: [Synth 8-3848] Net axi_r_prog_full_thresh_axi_fifo in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:275] WARNING: [Synth 8-3848] Net axi_r_prog_empty_thresh_axi_fifo in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:276] WARNING: [Synth 8-3848] Net axis_prog_full_thresh in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:292] WARNING: [Synth 8-3848] Net axis_prog_empty_thresh in module/entity axis_fg does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:293] WARNING: [Synth 8-3848] Net axi_aw_prog_full_thresh_axi_fifo in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:231] WARNING: [Synth 8-3848] Net axi_aw_prog_empty_thresh_axi_fifo in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:232] WARNING: [Synth 8-3848] Net axi_w_prog_full_thresh_axi_fifo in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:242] WARNING: [Synth 8-3848] Net axi_w_prog_empty_thresh_axi_fifo in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:243] WARNING: [Synth 8-3848] Net axi_b_prog_full_thresh_axi_fifo in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:253] WARNING: [Synth 8-3848] Net axi_b_prog_empty_thresh_axi_fifo in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:254] WARNING: [Synth 8-3848] Net axi_ar_prog_full_thresh_axi_fifo in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:264] WARNING: [Synth 8-3848] Net axi_ar_prog_empty_thresh_axi_fifo in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:265] WARNING: [Synth 8-3848] Net axi_r_prog_full_thresh_axi_fifo in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:275] WARNING: [Synth 8-3848] Net axi_r_prog_empty_thresh_axi_fifo in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:276] WARNING: [Synth 8-3848] Net axis_prog_full_thresh in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:292] WARNING: [Synth 8-3848] Net axis_prog_empty_thresh in module/entity axis_fg__parameterized0 does not have driver. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_fifo_mm_s_v4_1/45548547/hdl/src/vhdl/axis_fg.vhd:293] WARNING: [Synth 8-3848] Net LED_BANK_35 in module/entity toplevel does not have driver. [C:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/imports/hdl/toplevel.vhd:22] --------------------------------------------------------------------------------- Finished RTL Optimization Phase 2 : Time (s): cpu = 00:10:10 ; elapsed = 00:10:23 . Memory (MB): peak = 1022.816 ; gain = 860.035 --------------------------------------------------------------------------------- Report RTL Partitions: +------+-----------------------+------------+----------+ | |RTL Partition |Replication |Instances | +------+-----------------------+------------+----------+ |1 |mbsys_axi_ethernet_0_0 | 1| 31835| |2 |mbsys__GB1 | 1| 23403| |3 |toplevel__GC0 | 1| 4187| +------+-----------------------+------------+----------+ --------------------------------------------------------------------------------- Start RTL Component Statistics --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished RTL Component Statistics --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start RTL Hierarchical Component Statistics --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished RTL Hierarchical Component Statistics --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Part Resource Summary --------------------------------------------------------------------------------- Part Resources: DSPs: 740 (col length:100) BRAMs: 730 (col length: RAMB18 100 RAMB36 50) --------------------------------------------------------------------------------- Finished Part Resource Summary --------------------------------------------------------------------------------- Start Parallel Synthesis Optimization : Time (s): cpu = 00:10:12 ; elapsed = 00:10:24 . Memory (MB): peak = 1022.816 ; gain = 860.035 --------------------------------------------------------------------------------- Start Cross Boundary Optimization --------------------------------------------------------------------------------- INFO: [Synth 8-4471] merging register 'MEM_DECODE_GEN[0].cs_out_i_reg[0:0]' into 'MEM_DECODE_GEN[1].cs_out_i_reg[1:1]' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/axi_ipif/bd_0_eth_mac_0_address_decoder.vhd:381] ROM "reset_mode_dbg_halt" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "reset_mode_sleep" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "Status_Reg_En" won't be mapped to RAM because it is too sparse. ROM "Data_Read_Reg_En" won't be mapped to RAM because it is too sparse. ROM "Config_Reg_En" won't be mapped to RAM because it is too sparse. ROM "New_Dbg_Instr_TCK" won't be mapped to RAM because it is too sparse. ROM "which_pc" won't be mapped to RAM because it is too sparse. ROM "Instr_Insert_Reg_En" won't be mapped to RAM because it is too sparse. ROM "Control_Reg_En" won't be mapped to RAM because it is too sparse. ROM "Command_Reg_En" won't be mapped to RAM because it is too sparse. INFO: [Synth 8-4471] merging register 'AXI_RESET_TO_TXCLIENT/ClkA_reset_inst/async_rst0_reg' into 'AXI_RESET_TO_RXCLIENT/ClkA_reset_inst/async_rst0_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:537] INFO: [Synth 8-4471] merging register 'AXI_RESET_TO_TXCLIENT/ClkA_reset_inst/async_rst1_reg' into 'AXI_RESET_TO_RXCLIENT/ClkA_reset_inst/async_rst1_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:546] INFO: [Synth 8-4471] merging register 'AXI_RESET_TO_TXCLIENT/ClkA_reset_inst/async_rst2_reg' into 'AXI_RESET_TO_RXCLIENT/ClkA_reset_inst/async_rst2_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:547] INFO: [Synth 8-4471] merging register 'AXI_RESET_TO_TXCLIENT/ClkA_reset_inst/async_rst3_reg' into 'AXI_RESET_TO_RXCLIENT/ClkA_reset_inst/async_rst3_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:548] INFO: [Synth 8-4471] merging register 'AXI_RESET_TO_TXCLIENT/ClkA_reset_inst/async_rst4_reg' into 'AXI_RESET_TO_RXCLIENT/ClkA_reset_inst/async_rst4_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:549] INFO: [Synth 8-4471] merging register 'AXI_RESET_TO_GTX/ClkA_reset_inst/async_rst0_reg' into 'AXI_RESET_TO_RXCLIENT/ClkA_reset_inst/async_rst0_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:537] INFO: [Synth 8-4471] merging register 'AXI_RESET_TO_GTX/ClkA_reset_inst/async_rst1_reg' into 'AXI_RESET_TO_RXCLIENT/ClkA_reset_inst/async_rst1_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:546] INFO: [Synth 8-4471] merging register 'AXI_RESET_TO_GTX/ClkA_reset_inst/async_rst2_reg' into 'AXI_RESET_TO_RXCLIENT/ClkA_reset_inst/async_rst2_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:547] INFO: [Synth 8-4471] merging register 'AXI_RESET_TO_GTX/ClkA_reset_inst/async_rst3_reg' into 'AXI_RESET_TO_RXCLIENT/ClkA_reset_inst/async_rst3_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:548] INFO: [Synth 8-4471] merging register 'AXI_RESET_TO_GTX/ClkA_reset_inst/async_rst4_reg' into 'AXI_RESET_TO_RXCLIENT/ClkA_reset_inst/async_rst4_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:549] ROM "IP_I/Intrpt" won't be mapped to RAM because it is too sparse. INFO: [Synth 8-4471] merging register 'gen_sample_tx_mac_config/ClkASignalInReg_reg' into 'gen_sample_rx_mac_config/ClkASignalInReg_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:1180] INFO: [Synth 8-4471] merging register 'gen_sample_axi_str_config/ClkASignalInReg_reg' into 'gen_sample_rx_mac_config/ClkASignalInReg_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_0/axi_ethernet_buffer_v2_0/hdl/axi_ethernet_buffer_v2_0_vh_rfs.vhd:1180] ROM "rx/pause_value" won't be mapped to RAM because it is too sparse. ROM "rx/bad_fc_opcode_int" won't be mapped to RAM because it is too sparse. ROM "rx/pause_req_int" won't be mapped to RAM because it is too sparse. ROM "FRAME_DECODER/EQUAL" won't be mapped to RAM because it is too sparse. ROM "FRAME_DECODER/EQUAL" won't be mapped to RAM because it is too sparse. ROM "FRAME_DECODER/EQUAL" won't be mapped to RAM because it is too sparse. ROM "FRAME_DECODER/EQUAL" won't be mapped to RAM because it is too sparse. ROM "FRAME_DECODER/EQUAL" won't be mapped to RAM because it is too sparse. ROM "FRAME_CHECKER/EQUAL" won't be mapped to RAM because it is too sparse. ROM "SFD_FLAG_EARLY" won't be mapped to RAM because it is too sparse. ROM "EQUAL" won't be mapped to RAM because it is too sparse. ROM "EQUAL" won't be mapped to RAM because it is too sparse. ROM "EQUAL" won't be mapped to RAM because it is too sparse. ROM "EQUAL" won't be mapped to RAM because it is too sparse. ROM "conf/int_rx_pause_ad" won't be mapped to RAM because it is too sparse. ROM "conf/int_rx_en" won't be mapped to RAM because it is too sparse. ROM "conf/int_tx_en" won't be mapped to RAM because it is too sparse. ROM "conf/int_fc_en" won't be mapped to RAM because it is too sparse. ROM "conf/int_speed" won't be mapped to RAM because it is too sparse. ROM "conf/int_rx_frame_enable" won't be mapped to RAM because it is too sparse. ROM "conf/int_tx_frame_enable" won't be mapped to RAM because it is too sparse. ROM "conf/int_tx_latency_adjust" won't be mapped to RAM because it is too sparse. ROM "conf/int_ma_clk_divide" won't be mapped to RAM because it is too sparse. ROM "conf/int_ma_mdio_phyad" won't be mapped to RAM because it is too sparse. ROM "conf/int_ma_tx_data" won't be mapped to RAM because it is too sparse. INFO: [Synth 8-4471] merging register 'rx_undersized_counter/SYNC_STATS_RESET/async_rst0_reg' into 'rx_byte_counter/SYNC_STATS_RESET/async_rst0_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1556] INFO: [Synth 8-4471] merging register 'rx_undersized_counter/SYNC_STATS_RESET/async_rst1_reg' into 'rx_byte_counter/SYNC_STATS_RESET/async_rst1_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1565] INFO: [Synth 8-4471] merging register 'rx_undersized_counter/SYNC_STATS_RESET/async_rst2_reg' into 'rx_byte_counter/SYNC_STATS_RESET/async_rst2_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1566] INFO: [Synth 8-4471] merging register 'rx_undersized_counter/SYNC_STATS_RESET/async_rst3_reg' into 'rx_byte_counter/SYNC_STATS_RESET/async_rst3_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1567] INFO: [Synth 8-4471] merging register 'rx_undersized_counter/SYNC_STATS_RESET/async_rst4_reg' into 'rx_byte_counter/SYNC_STATS_RESET/async_rst4_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1568] INFO: [Synth 8-4471] merging register 'rx_undersized_counter/SYNC_STATS_RESET/sync_rst0_reg' into 'rx_byte_counter/SYNC_STATS_RESET/sync_rst0_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1583] INFO: [Synth 8-4471] merging register 'rx_undersized_counter/SYNC_STATS_RESET/sync_rst1_reg' into 'rx_byte_counter/SYNC_STATS_RESET/sync_rst1_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1584] INFO: [Synth 8-4471] merging register 'rx_fragment_counter/SYNC_STATS_RESET/async_rst0_reg' into 'rx_byte_counter/SYNC_STATS_RESET/async_rst0_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1556] INFO: [Synth 8-4471] merging register 'rx_fragment_counter/SYNC_STATS_RESET/async_rst1_reg' into 'rx_byte_counter/SYNC_STATS_RESET/async_rst1_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1565] INFO: [Synth 8-4471] merging register 'rx_fragment_counter/SYNC_STATS_RESET/async_rst2_reg' into 'rx_byte_counter/SYNC_STATS_RESET/async_rst2_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1566] INFO: [Synth 8-4471] merging register 'rx_fragment_counter/SYNC_STATS_RESET/async_rst3_reg' into 'rx_byte_counter/SYNC_STATS_RESET/async_rst3_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1567] INFO: [Synth 8-4471] merging register 'rx_fragment_counter/SYNC_STATS_RESET/async_rst4_reg' into 'rx_byte_counter/SYNC_STATS_RESET/async_rst4_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1568] INFO: [Synth 8-4471] merging register 'rx_fragment_counter/SYNC_STATS_RESET/sync_rst0_reg' into 'rx_byte_counter/SYNC_STATS_RESET/sync_rst0_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1583] INFO: [Synth 8-4471] merging register 'rx_fragment_counter/SYNC_STATS_RESET/sync_rst1_reg' into 'rx_byte_counter/SYNC_STATS_RESET/sync_rst1_reg' [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/tri_mode_ethernet_mac_v8_3/hdl/tri_mode_ethernet_mac_v8_3_rfs.vhd:1584] ROM "done" won't be mapped to RAM because it is too sparse. ROM "address_filter_inst/broadcast_byte_match" won't be mapped to RAM because it is too sparse. ROM "address_filter_inst/ram_field_wr" won't be mapped to RAM because it is too sparse. ROM "address_filter_inst/ram_field_wr" won't be mapped to RAM because it is too sparse. ROM "address_filter_inst/ram_field_wr" won't be mapped to RAM because it is too sparse. ROM "address_filter_inst/ram_field_wr" won't be mapped to RAM because it is too sparse. ROM "address_filter_inst/ram_compare_wr" won't be mapped to RAM because it is too sparse. ROM "address_filter_inst/ram_compare_wr" won't be mapped to RAM because it is too sparse. ROM "address_filter_inst/ram_compare_wr" won't be mapped to RAM because it is too sparse. ROM "address_filter_inst/ram_compare_wr" won't be mapped to RAM because it is too sparse. ROM "gpcs_pma_inst/TRANSMITTER/EXT_CODE" won't be mapped to RAM because it is too sparse. ROM "gpcs_pma_inst/HAS_AUTO_NEG.AUTO_NEGOTIATION/MASK_RUDI_BUFERR" won't be mapped to RAM because it is too sparse. ROM "gpcs_pma_inst/HAS_AUTO_NEG.AUTO_NEGOTIATION/RX_CONFIG_REG_NULL" won't be mapped to RAM because it is too sparse. ROM "U0/pcs_pma_block_i/sgmii_logic/receiver/sfd_aligned" won't be mapped to RAM because it is too sparse. ROM "U0/pcs_pma_block_i/transceiver_inst/gtwizard_inst/U0/gt0_txresetfsm_i/init_wait_count" won't be mapped to RAM because it is too sparse. ROM "U0/pcs_pma_block_i/transceiver_inst/gtwizard_inst/U0/gt0_txresetfsm_i/init_wait_done" won't be mapped to RAM because it is too sparse. ROM "U0/pcs_pma_block_i/transceiver_inst/gtwizard_inst/U0/gt0_txresetfsm_i/wait_time_done" won't be mapped to RAM because it is too sparse. ROM "U0/pcs_pma_block_i/transceiver_inst/gtwizard_inst/U0/gt0_txresetfsm_i/time_out_counter" won't be mapped to RAM because address size (20) is larger than maximum supported(18) ROM "U0/pcs_pma_block_i/transceiver_inst/gtwizard_inst/U0/gt0_txresetfsm_i/time_out_2ms" won't be mapped to RAM because address size (20) is larger than maximum supported(18) ROM "U0/pcs_pma_block_i/transceiver_inst/gtwizard_inst/U0/gt0_txresetfsm_i/time_tlock_max" won't be mapped to RAM because address size (20) is larger than maximum supported(18) ROM "U0/pcs_pma_block_i/transceiver_inst/gtwizard_inst/U0/gt0_txresetfsm_i/time_out_500us" won't be mapped to RAM because address size (20) is larger than maximum supported(18) ROM "U0/pcs_pma_block_i/transceiver_inst/gtwizard_inst/U0/gt0_txresetfsm_i/wait_bypass_count" won't be mapped to RAM because it is too sparse. ROM "U0/pcs_pma_block_i/transceiver_inst/gtwizard_inst/U0/gt0_txresetfsm_i/time_out_wait_bypass" won't be mapped to RAM because it is too sparse. ROM "U0/pcs_pma_block_i/transceiver_inst/gtwizard_inst/U0/gt0_rxresetfsm_i/init_wait_count" won't be mapped to RAM because it is too sparse. ROM "U0/pcs_pma_block_i/transceiver_inst/gtwizard_inst/U0/gt0_rxresetfsm_i/init_wait_done" won't be mapped to RAM because it is too sparse. ROM "U0/pcs_pma_block_i/transceiver_inst/gtwizard_inst/U0/gt0_rxresetfsm_i/wait_time_done" won't be mapped to RAM because it is too sparse. ROM "U0/pcs_pma_block_i/transceiver_inst/gtwizard_inst/U0/gt0_rxresetfsm_i/time_out_counter" won't be mapped to RAM because address size (21) is larger than maximum supported(18) ROM "U0/pcs_pma_block_i/transceiver_inst/gtwizard_inst/U0/gt0_rxresetfsm_i/time_out_2ms" won't be mapped to RAM because address size (21) is larger than maximum supported(18) ROM "U0/pcs_pma_block_i/transceiver_inst/gtwizard_inst/U0/gt0_rxresetfsm_i/time_out_100us" won't be mapped to RAM because address size (21) is larger than maximum supported(18) ROM "U0/pcs_pma_block_i/transceiver_inst/gtwizard_inst/U0/gt0_rxresetfsm_i/time_out_1us" won't be mapped to RAM because address size (21) is larger than maximum supported(18) ROM "U0/pcs_pma_block_i/transceiver_inst/gtwizard_inst/U0/gt0_rxresetfsm_i/wait_bypass_count" won't be mapped to RAM because it is too sparse. ROM "U0/pcs_pma_block_i/transceiver_inst/gtwizard_inst/U0/gt0_rxresetfsm_i/time_out_wait_bypass" won't be mapped to RAM because it is too sparse. ROM "U0/pcs_pma_block_i/transceiver_inst/gtwizard_inst/U0/gt0_rx_cdrlocked" won't be mapped to RAM because it is too sparse. ROM "MDM_Core_I1/JTAG_CONTROL_I/fifo_Write" won't be mapped to RAM because it is too sparse. ROM "MDM_Core_I1/JTAG_CONTROL_I/fifo_Read" won't be mapped to RAM because it is too sparse. WARNING: [Synth 8-3936] Found unconnected internal register 'Use_FPU.FPU_ADDSUB_I/mem_res_4_reg' and it is trimmed from '27' to '26' bits. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_4/e11bcbd5/hdl/microblaze_v9_4_vh_rfs.vhd:23803] ROM "mem_Res_Type_3" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "mem_Res_Type_3" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "mem_Exp_Res_2" won't be mapped to Block RAM because address size (1) smaller than threshold (5) ROM "MicroBlaze_Core_I/Performance.Core/Use_Debug_Logic.Master_Core.Debug_Perf/reset_mode_dbg_halt" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "MicroBlaze_Core_I/Performance.Core/Use_Debug_Logic.Master_Core.Debug_Perf/reset_mode_sleep" won't be mapped to Block RAM because address size (2) smaller than threshold (5) ROM "MicroBlaze_Core_I/Performance.Core/Use_Debug_Logic.Master_Core.Debug_Perf/Control_Reg_En" won't be mapped to RAM because it is too sparse. ROM "MicroBlaze_Core_I/Performance.Core/Use_Debug_Logic.Master_Core.Debug_Perf/Instr_Insert_Reg_En" won't be mapped to RAM because it is too sparse. ROM "MicroBlaze_Core_I/Performance.Core/Use_Debug_Logic.Master_Core.Debug_Perf/Command_Reg_En" won't be mapped to RAM because it is too sparse. ROM "INTC_CORE_I/ivr_out" won't be mapped to Block RAM because address size (3) smaller than threshold (5) INFO: [Synth 8-3936] Found unconnected internal register 'inst/gen_sasd.crossbar_sasd_0/reg_slice_r/m_payload_i_reg' and it is trimmed from '36' to '35' bits. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/ipshared/xilinx.com/axi_register_slice_v2_1/353278bf/hdl/verilog/axi_register_slice_v2_1_axic_register_slice.v:121] WARNING: [Synth 8-3917] design toplevel has port LED_BANK_15 driven by constant 1 WARNING: [Synth 8-3917] design toplevel has port LED_BANK_16 driven by constant 0 WARNING: [Synth 8-3331] design toplevel has unconnected port LED_BANK_35 WARNING: [Synth 8-3331] design toplevel has unconnected port ENET_PHY_INT --------------------------------------------------------------------------------- Finished Cross Boundary Optimization : Time (s): cpu = 00:10:22 ; elapsed = 00:10:34 . Memory (MB): peak = 1022.816 ; gain = 860.035 --------------------------------------------------------------------------------- Finished Parallel Reinference : Time (s): cpu = 00:10:22 ; elapsed = 00:10:34 . Memory (MB): peak = 1022.816 ; gain = 860.035 Report RTL Partitions: +------+-----------------------+------------+----------+ | |RTL Partition |Replication |Instances | +------+-----------------------+------------+----------+ |1 |mbsys_axi_ethernet_0_0 | 1| 32268| |2 |mbsys__GB1 | 1| 25980| |3 |toplevel__GC0 | 1| 4187| +------+-----------------------+------------+----------+ --------------------------------------------------------------------------------- Start Area Optimization --------------------------------------------------------------------------------- INFO: [Synth 8-3332] Sequential element (\TX_SM1/BYTE_COUNT_reg[1][14] ) is unused and will be removed from module tri_mode_ethernet_mac_v8_3_tx. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/grxd.COMP_RX_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/gtxd.sig_txd_packet_size_reg[31] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/gtxd.COMP_TXD_FIFO/gfifo_gen.gmm2s.vacancy_i_reg[0] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/grxd.COMP_RX_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/gntv_or_sync_fifo.mem/goreg_bm.dout_i_reg[8] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/grxd.COMP_RX_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/gntv_or_sync_fifo.mem/goreg_bm.dout_i_reg[7] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/grxd.COMP_RX_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/gntv_or_sync_fifo.mem/goreg_bm.dout_i_reg[6] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/grxd.COMP_RX_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/gntv_or_sync_fifo.mem/goreg_bm.dout_i_reg[5] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/grxd.COMP_RX_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/gntv_or_sync_fifo.mem/goreg_bm.dout_i_reg[4] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/grxd.COMP_RX_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/gntv_or_sync_fifo.mem/goreg_bm.dout_i_reg[3] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/grxd.COMP_RX_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/gntv_or_sync_fifo.mem/goreg_bm.dout_i_reg[2] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/grxd.COMP_RX_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/gntv_or_sync_fifo.mem/goreg_bm.dout_i_reg[1] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIF/I_SLAVE_ATTACHMENT/bus2ip_addr_i_reg[1] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIF/I_SLAVE_ATTACHMENT/bus2ip_addr_i_reg[0] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/gtxd.COMP_TXD_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/gtxd.COMP_TXD_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/gntv_or_sync_fifo.mem/goreg_bm.dout_i_reg[4] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/gtxd.COMP_TXD_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/gntv_or_sync_fifo.mem/goreg_bm.dout_i_reg[3] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/gtxd.COMP_TXD_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/gntv_or_sync_fifo.mem/goreg_bm.dout_i_reg[2] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/gtxd.COMP_TXD_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/gntv_or_sync_fifo.mem/goreg_bm.dout_i_reg[1] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/gtxd.COMP_TXD_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/gntv_or_sync_fifo.gl0.rd/gr1.rfwft/aempty_fwft_fb_reg ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/gtxd.COMP_TXD_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/gntv_or_sync_fifo.gl0.rd/gr1.rfwft/gpregsm1.user_valid_reg ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/gtxd.COMP_TXD_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/gtxd.COMP_TXD_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/gntv_or_sync_fifo.gl0.rd/grss.gpe.rdpe/greg.gpcry_sym.diff_pntr_pad_reg[0] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/gtxd.COMP_TXD_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/gntv_or_sync_fifo.gl0.wr/gwss.gpf.wrpf/gdiff.gcry_1_sym.diff_pntr_pad_reg[0] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/sig_txd_wr_data_reg[32] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/grxd.COMP_RX_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/gntv_or_sync_fifo.gl0.rd/gr1.rfwft/aempty_fwft_fb_reg ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/grxd.COMP_RX_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/grxd.COMP_RX_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/gntv_or_sync_fifo.gl0.rd/grss.gpe.rdpe/greg.gpcry_sym.diff_pntr_pad_reg[0] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/grxd.COMP_RX_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/gntv_or_sync_fifo.gl0.wr/gwss.gpf.wrpf/gdiff.gcry_1_sym.diff_pntr_pad_reg[0] ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/grxd.COMP_rx_len_fifo/FAMILY_SUPPORTED.I_SYNC_FIFO_BRAM/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/grss.rsts/gae.ram_aempty_i_reg ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. INFO: [Synth 8-3332] Sequential element (\U0/COMP_IPIC2AXI_S/grxd.COMP_rx_len_fifo/FAMILY_SUPPORTED.I_SYNC_FIFO_BRAM/inst_fifo_gen/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.gl0.rd/gr1.rfwft/aempty_fwft_fb_reg ) is unused and will be removed from module mbsys_axi_ethernet_0_fifo_0. --------------------------------------------------------------------------------- Finished Area Optimization : Time (s): cpu = 00:10:50 ; elapsed = 00:11:03 . Memory (MB): peak = 1022.816 ; gain = 860.035 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Area Optimization : Time (s): cpu = 00:10:50 ; elapsed = 00:11:03 . Memory (MB): peak = 1022.816 ; gain = 860.035 --------------------------------------------------------------------------------- Finished Parallel Area Optimization : Time (s): cpu = 00:10:50 ; elapsed = 00:11:03 . Memory (MB): peak = 1022.816 ; gain = 860.035 Report RTL Partitions: +------+-----------------------+------------+----------+ | |RTL Partition |Replication |Instances | +------+-----------------------+------------+----------+ |1 |mbsys_axi_ethernet_0_0 | 1| 27950| |2 |mbsys__GB1 | 1| 22532| |3 |toplevel__GC0 | 1| 4641| +------+-----------------------+------------+----------+ Finished Parallel Synthesis Optimization : Time (s): cpu = 00:10:50 ; elapsed = 00:11:03 . Memory (MB): peak = 1022.816 ; gain = 860.035 --------------------------------------------------------------------------------- Start Timing Optimization --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Applying XDC Timing Constraints --------------------------------------------------------------------------------- info: Source pin 'i_0/clk_user_inst/clk_in1' of generated clock is hierarchical info: Moving clock source from hierarchical pin 'i_0/clk_user_inst/clk_in1' to 'i_0/mgtrefclk1' info: Source pin 'i_0/clk_user_inst/clk_in1' of generated clock is hierarchical info: Moving clock source from hierarchical pin 'i_0/clk_user_inst/clk_in1' to 'i_0/mgtrefclk1' info: Source pin 'i_0/clk_user_inst/clk_in1' of generated clock is hierarchical info: Moving clock source from hierarchical pin 'i_0/clk_user_inst/clk_in1' to 'i_0/mgtrefclk1' info: Source pin 'i_0/clk_user_inst/clk_in1' of generated clock is hierarchical info: Moving clock source from hierarchical pin 'i_0/clk_user_inst/clk_in1' to 'i_0/mgtrefclk1' WARNING: [Synth 8-3321] Empty from list for constraint at line 44 of c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/bd_0_eth_mac_0.xdc. [c:/Users/kjohns/Documents/a7_mmfe_xadc_udp_v2kj1.xpr/a7_mmfe_xadc_udp_v2/a7_mmfe_xadc_udp_v2.srcs/sources_1/bd/mbsys/ip/mbsys_axi_ethernet_0_0/bd_0/ip/ip_1/synth/bd_0_eth_mac_0.xdc:44] INFO: Moved 6 constraints on hierarchical pins to their respective driving/loading pins --------------------------------------------------------------------------------- Finished Applying XDC Timing Constraints : Time (s): cpu = 00:11:11 ; elapsed = 00:11:24 . Memory (MB): peak = 1022.816 ; gain = 860.035 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Timing Optimization : Time (s): cpu = 00:11:16 ; elapsed = 00:11:29 . Memory (MB): peak = 1022.816 ; gain = 860.035 --------------------------------------------------------------------------------- Report RTL Partitions: +------+-----------------------+------------+----------+ | |RTL Partition |Replication |Instances | +------+-----------------------+------------+----------+ |1 |mbsys_axi_ethernet_0_0 | 1| 27950| |2 |mbsys__GB1 | 1| 22532| |3 |toplevel__GC0 | 1| 4641| +------+-----------------------+------------+----------+ --------------------------------------------------------------------------------- Start Technology Mapping --------------------------------------------------------------------------------- INFO: [Synth 8-3332] Sequential element (\COMBINE_RESETS/AXI_RESET_TO_RXCLIENT/ClkA_reset_inst/async_rst0_reg ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\COMBINE_RESETS/AXI_RESET_TO_RXCLIENT/ClkA_reset_inst/async_rst1_reg ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\COMBINE_RESETS/AXI_RESET_TO_RXCLIENT/ClkA_reset_inst/async_rst2_reg ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\COMBINE_RESETS/AXI_RESET_TO_RXCLIENT/ClkA_reset_inst/async_rst3_reg ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\COMBINE_RESETS/AXI_RESET_TO_RXCLIENT/ClkA_reset_inst/async_rst4_reg ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\I_AXI_LITE_IPIF/I_SLAVE_ATTACHMENT/bus2ip_addr_i_reg[1] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\I_AXI_LITE_IPIF/I_SLAVE_ATTACHMENT/bus2ip_addr_i_reg[0] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\I_ADDR_SHIM/BUS2IP_Addr_reg_reg[0] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\I_ADDR_SHIM/BUS2IP_Addr_reg_reg[1] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\I_ADDR_SHIM/BUS2IP_Addr_reg_reg[2] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\I_ADDR_SHIM/BUS2IP_Addr_reg_reg[3] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\I_ADDR_SHIM/BUS2IP_Addr_reg_reg[4] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\I_ADDR_SHIM/BUS2IP_Addr_reg_reg[5] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\I_ADDR_SHIM/BUS2IP_Addr_reg_reg[6] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\I_ADDR_SHIM/BUS2IP_Addr_reg_reg[7] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\I_ADDR_SHIM/BUS2IP_Addr_reg_reg[8] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\I_ADDR_SHIM/BUS2IP_Addr_reg_reg[9] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\I_ADDR_SHIM/BUS2IP_Addr_reg_reg[10] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\I_ADDR_SHIM/BUS2IP_Addr_reg_reg[11] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\I_ADDR_SHIM/BUS2IP_Addr_reg_reg[12] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\I_ADDR_SHIM/BUS2IP_Addr_reg_reg[13] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\I_ADDR_SHIM/BUS2IP_Addr_reg_reg[30] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\I_ADDR_SHIM/BUS2IP_Addr_reg_reg[31] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\I_ADDR_SHIM/shim2IP_RNW_int_reg ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\gen_sample_axi_str_config/data_sync/data_sync0_i ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\gen_sample_axi_str_config/data_sync/data_sync1_i ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\gen_sample_axi_str_config/data_sync/data_sync2_i ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\gen_sample_axi_str_config/data_sync/data_sync3_i ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\gen_sample_axi_str_config/data_sync/data_sync4_i ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\gen_sample_axi_str_config/data_sync/data_sync5_i ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\gen_sample_axi_str_config/data_sync/data_sync6_i ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\gen_sample_axi_str_config/data_sync/data_sync7_i ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[19] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[20] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[21] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[22] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[23] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[24] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[25] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[26] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[27] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[28] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[29] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[30] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[31] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[32] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[33] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[34] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[35] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[36] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[37] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[38] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[39] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[40] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[41] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[42] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[43] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[44] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[45] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[46] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[47] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[48] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[49] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[50] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/start_of_frame_array_reg[51] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/end_of_frame_array_reg[2] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/NO_INCLUDE_RX_VLAN.RX_EMAC_IF_I/end_of_frame_array_reg[3] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/end_of_frame_reset_array_reg[13] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Synth 8-3332] Sequential element (\RCV_INTFCE_I/end_of_frame_reset_array_reg[14] ) is unused and will be removed from module axi_ethernet_buffer_v2_0__parameterized0. INFO: [Common 17-14] Message 'Synth 8-3332' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. --------------------------------------------------------------------------------- Finished Technology Mapping : Time (s): cpu = 00:11:45 ; elapsed = 00:12:01 . Memory (MB): peak = 1067.664 ; gain = 904.883 --------------------------------------------------------------------------------- Report RTL Partitions: +------+-----------------------+------------+----------+ | |RTL Partition |Replication |Instances | +------+-----------------------+------------+----------+ |1 |mbsys_axi_ethernet_0_0 | 1| 12715| +------+-----------------------+------------+----------+ --------------------------------------------------------------------------------- Start IO Insertion --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Flattening Before IO Insertion --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Flattening Before IO Insertion --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Final Netlist Cleanup --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished IO Insertion : Time (s): cpu = 00:11:47 ; elapsed = 00:12:03 . Memory (MB): peak = 1067.664 ; gain = 904.883 --------------------------------------------------------------------------------- Report Check Netlist: +------+------------------+-------+---------+-------+------------------+ | |Item |Errors |Warnings |Status |Description | +------+------------------+-------+---------+-------+------------------+ |1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | +------+------------------+-------+---------+-------+------------------+ --------------------------------------------------------------------------------- Start Renaming Generated Instances --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Renaming Generated Instances : Time (s): cpu = 00:11:48 ; elapsed = 00:12:03 . Memory (MB): peak = 1067.664 ; gain = 904.883 --------------------------------------------------------------------------------- Report RTL Partitions: +------+-----------------------+------------+----------+ | |RTL Partition |Replication |Instances | +------+-----------------------+------------+----------+ |1 |mbsys_axi_ethernet_0_0 | 1| 12713| +------+-----------------------+------------+----------+ --------------------------------------------------------------------------------- Start Rebuilding User Hierarchy --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Finished Rebuilding User Hierarchy : Time (s): cpu = 00:11:52 ; elapsed = 00:12:07 . Memory (MB): peak = 1067.664 ; gain = 904.883 --------------------------------------------------------------------------------- --------------------------------------------------------------------------------- Start Writing Synthesis Report --------------------------------------------------------------------------------- Report BlackBoxes: +------+--------------+----------+ | |BlackBox name |Instances | +------+--------------+----------+ |1 |clk_wiz_0 | 1| |2 |ila_0 | 1| +------+--------------+----------+ Report Cell Usage: +------+---------------+------+ | |Cell |Count | +------+---------------+------+ |1 |clk_wiz_0_bbox | 1| |2 |ila_0_bbox | 1| |3 |AND2B1L | 1| |4 |BSCANE2 | 1| |5 |BUFG | 9| |6 |CARRY4 | 198| |7 |DSP48E1 | 1| |8 |DSP48E1_1 | 1| |9 |DSP48E1_2 | 1| |10 |DSP48E1_3 | 1| |11 |DSP48E1_4 | 1| |12 |GND | 11| |13 |GTPE2_CHANNEL | 1| |14 |GTPE2_COMMON | 1| |15 |IBUFDS_GTE2 | 2| |16 |INV | 3| |17 |LUT1 | 359| |18 |LUT2 | 1127| |19 |LUT3 | 1349| |20 |LUT4 | 1640| |21 |LUT5 | 1430| |22 |LUT6 | 3730| |23 |LUT6_2 | 80| |24 |MMCME2_ADV | 2| |25 |MULT_AND | 10| |26 |MUXCY | 208| |27 |MUXCY_L | 523| |28 |MUXF7 | 226| |29 |MUXF8 | 24| |30 |RAM16X1D | 32| |31 |RAM32M | 22| |32 |RAM64M | 122| |33 |RAM64X1D | 176| |34 |RAMB36E1 | 3| |35 |RAMB36E1_1 | 1| |36 |RAMB36E1_2 | 10| |37 |RAMB36E1_3 | 32| |38 |RAMB36E1_4 | 32| |39 |SRL16 | 3| |40 |SRL16E | 153| |41 |SRLC16E | 8| |42 |SRLC32E | 2| |43 |VCC | 11| |44 |XORCY | 555| |45 |FD | 219| |46 |FDCE | 636| |47 |FDC_1 | 1| |48 |FDE | 32| |49 |FDP | 48| |50 |FDPE | 187| |51 |FDR | 112| |52 |FDRE | 14258| |53 |FDRE_1 | 1| |54 |FDS | 3| |55 |FDSE | 400| |56 |IBUF | 6| |57 |IOBUF | 1| |58 |OBUF | 9| |59 |OBUFDS | 1| |60 |OBUFT | 1| +------+---------------+------+ --------------------------------------------------------------------------------- Finished Writing Synthesis Report : Time (s): cpu = 00:11:53 ; elapsed = 00:12:08 . Memory (MB): peak = 1067.664 ; gain = 904.883 --------------------------------------------------------------------------------- Synthesis finished with 0 errors, 0 critical warnings and 357 warnings. Synthesis Optimization Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:09:51 . Memory (MB): peak = 1067.664 ; gain = 581.066 Synthesis Optimization Complete : Time (s): cpu = 00:11:53 ; elapsed = 00:12:08 . Memory (MB): peak = 1067.664 ; gain = 904.883 INFO: [Project 1-571] Translating synthesized netlist INFO: [Netlist 29-17] Analyzing 2230 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-570] Preparing netlist for logic optimization INFO: [Opt 31-140] Inserted 0 IBUFs to IO ports without IO buffers. INFO: [Opt 31-141] Inserted 0 OBUFs to IO ports without IO buffers. WARNING: [Constraints 18-1079] Register mbsys_i/axi_ethernet_0_fifo/U0/COMP_IPIC2AXI_S/grxd.COMP_RX_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.rd_rst_asreg_reg and mbsys_i/axi_ethernet_0_fifo/U0/COMP_IPIC2AXI_S/grxd.COMP_RX_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg are from the same synchronizer and have the ASYNC_REG property set, but could not be placed into the same slice due to constraints or mismatched control signals on the registers. WARNING: [Constraints 18-1079] Register mbsys_i/axi_ethernet_0_fifo/U0/COMP_IPIC2AXI_S/grxd.COMP_RX_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.wr_rst_asreg_reg and mbsys_i/axi_ethernet_0_fifo/U0/COMP_IPIC2AXI_S/grxd.COMP_RX_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg are from the same synchronizer and have the ASYNC_REG property set, but could not be placed into the same slice due to constraints or mismatched control signals on the registers. WARNING: [Constraints 18-1079] Register mbsys_i/axi_ethernet_0_fifo/U0/COMP_IPIC2AXI_S/gtxd.COMP_TXD_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.rd_rst_asreg_reg and mbsys_i/axi_ethernet_0_fifo/U0/COMP_IPIC2AXI_S/gtxd.COMP_TXD_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg are from the same synchronizer and have the ASYNC_REG property set, but could not be placed into the same slice due to constraints or mismatched control signals on the registers. WARNING: [Constraints 18-1079] Register mbsys_i/axi_ethernet_0_fifo/U0/COMP_IPIC2AXI_S/gtxd.COMP_TXD_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.wr_rst_asreg_reg and mbsys_i/axi_ethernet_0_fifo/U0/COMP_IPIC2AXI_S/gtxd.COMP_TXD_FIFO/gfifo_gen.COMP_AXIS_FG_FIFO/COMP_FIFO/inst_fifo_gen/gaxis_fifo.gaxisf.axisf/grf.rf/rstblk/ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg are from the same synchronizer and have the ASYNC_REG property set, but could not be placed into the same slice due to constraints or mismatched control signals on the registers. INFO: [Opt 31-138] Pushed 3 inverter(s) to 16 load pin(s). INFO: [Project 1-111] Unisim Transformation Summary: A total of 1097 instances were transformed. (MUXCY,XORCY) => CARRY4: 233 instances FD => FDRE: 219 instances FDC_1 => FDCE (inverted pins: C): 1 instances FDE => FDRE: 32 instances FDP => FDPE: 48 instances FDR => FDRE: 112 instances FDRE_1 => FDRE (inverted pins: C): 1 instances FDS => FDSE: 3 instances IOBUF => IOBUF (IBUF, OBUFT): 1 instances LUT6_2 => LUT6_2 (LUT5, LUT6): 80 instances MULT_AND => LUT2: 10 instances OBUFDS => OBUFDS_DUAL_BUF (INV, OBUFDS, OBUFDS): 1 instances RAM16X1D => RAM32X1D (RAMD32, RAMD32): 32 instances RAM32M => RAM32M (RAMD32, RAMD32, RAMD32, RAMD32, RAMD32, RAMD32, RAMS32, RAMS32): 22 instances RAM64M => RAM64M (RAMD64E, RAMD64E, RAMD64E, RAMD64E): 122 instances RAM64X1D => RAM64X1D (RAMD64E, RAMD64E): 176 instances SRL16 => SRL16E: 3 instances SRLC16E => SRL16E: 1 instances INFO: [Common 17-83] Releasing license: Synthesis 1745 Infos, 177 Warnings, 0 Critical Warnings and 0 Errors encountered. synth_design completed successfully synth_design: Time (s): cpu = 00:11:59 ; elapsed = 00:12:14 . Memory (MB): peak = 1067.664 ; gain = 804.949 # write_checkpoint -noxdef toplevel.dcp # catch { report_utilization -file toplevel_utilization_synth.rpt -pb toplevel_utilization_synth.pb } report_utilization: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.520 . Memory (MB): peak = 1067.664 ; gain = 0.000 INFO: [Common 17-206] Exiting Vivado at Wed Apr 22 12:08:53 2015...